FinFET Reliability Issues


The 16nm FinFET node has introduced several new challenges in the IC design community. In addition to the complexity of power-noise and electromigration (EM) verification, thermal reliability has become a major concern for both chip and package designers. With the three-dimensional architecture of FinFET devices, new simulation approaches are being used to model thermal behavior of the die in o... » read more

The Week In Review: System-Level Design


Cadence bought TranSwitch’s high-speed interface IP assets. TranSwitch, which made chips for communications equipment, filed for bankruptcy in November. (The company’s Web site is no longer active.) Cadence also won a deal with Microsoft, which will use Tensilica processors in the new Xbox One audio subsystem. And Cadence rolled out HiFi Audio Tunneling for Android, which takes advantage of... » read more

Power And Signal Line Electro-migration Design And Reliability Validation Challenges For The 28nm Era


Reliability verification is an important aspect in the design and development of an integrated circuit (IC) to help guarantee its continued functionality over years of production use. One critical area of reliability verification is the electro-migration check analysis to ensure that the wires and vias used to connect the various devices in the chip do not fail from years of continuous use. ... » read more

Blog Review: Nov. 13


Synopsys’ Brent Gregory digs into optimal paths—in this case between the bakery, the library and another store. This is the classic traveling salesman equation, but with a large sales staff and lots of stops. Mentor’s Michael Ford points to the gap between supply-chain and shop-floor management solutions. This is yet another example of thinking outside the package—and maybe the enti... » read more

Power And Noise Integrity For Analog/Mixed Signal Designs


The convergence of advance process technology, increasing levels of integration, and higher operating frequencies pose considerable challenge to IP designers whose circuits are required to function in variety of conditions. Full-custom and mixed signal circuit designers ensure that their circuits will function by simulating for various operating conditions (PVT, input stimuli, etc). One key asp... » read more

Paving The Way To 16/14nm


The move to the next stop on the Moore’s Law road map isn’t getting any less expensive or easier, but it is becoming more predictable. Tools and programs are being expanded to address physical effects such as electrostatic discharge (ESD), electromigration and thermal effects from increased current density. Any or all of these three checklist items can affect the reliability of a chip. A... » read more

Current Generation Of FPGAs Pose New Power And Reliability Challenges


Today’s FPGAs are being used in a wide variety of applications such as consumer electronics, computer and storage, automotive electronics, and mission critical applications. The flexibility to configure the device based on its need, the ability to reprogram its functions, and the hardware parallelism it offers to quickly process very large amounts of data are some of the reasons why off-the-s... » read more

Tech Talk: 16nm-14nm Effects And Challenges


Arvind Shanmugavel from Apache Design talks with Semiconductor Engineering about electromigration, electrostatic discharge and thermal effects caused by increasing power density in finFETs.   [youtube vid=GOra5uYyIr8] » read more

Technologies For Power, Signal, Thermal, And EMI Sign-Off For Chip-Package-PCB Designs


Over the past few years, there has been a marked shift in the way people communicate and use computers. Some of the key changes include the prevalence of mobile internet connected devices such as smartphones and netbooks, the shift to cloud computing using larger centralized data centers, and the increase of electronics in automobiles for guidance, infotainment, and safety control systems. The ... » read more

On-Chip MCUs Excel At Power Management


By Ann Steffora Mutschler When it comes to supplying power to an SoC, there is an increasing trend to make it more intelligent—how to control it more accurately, how it is monitored and how it communicates with different aspects of the chip. Traditional power supply models with analog supplies have less of this control, so a number of engineering teams are considering the use of on-chip m... » read more

← Older posts Newer posts →