eBeam Initiative Marks Major Milestones Over 15 Years Of Photomasks And Lithography


The eBeam initiative celebrated its 15th anniversary at the recent SPIE Advanced Lithography + Patterning Conference. 130 members of the mask and lithography community attended the annual lunch to mark the milestone. The eBeam Initiative welcomed its 53rd member, FUJIFILM Corporation, having grown from 20 members and advisors at its launch. FUJIFILM is the first company from the chemical supply... » read more

Silicon Photonics Manufacturing Ramps Up


Circuit scaling is starting to hit a wall as the laws of physics clash with exponential increases in the volume of data, forcing chipmakers to take a much closer look at silicon photonics as a way of moving data from where it is collected to where it is processed and stored. The laws of physics are immutable. Put simply, there are limits to how fast an electron can travel through copper. The... » read more

Curvilinear Mask Patterning For Maximizing Lithography Entitlement


Curvilinear Mask Patterning is a cutting-edge lithography technique that promises to maximize lithography entitlement by addressing complex design challenges and critical yield limiters. However, its widespread deployment has been limited by significant computational challenges. This paper includes practical solutions to overcome the computational challenges associated with this technique, as w... » read more

Big Changes Ahead For Photomask Technology


The move to curvilinear shapes on photomasks is gaining steam after years of promise as a way of improving yield, lowering defectivity, and reducing wasted space on a die — all of which are essential for both continued scaling and improved reliability in semiconductors. Interest in this approach ran high at this year's SPIE Photomask Technology + EUV Lithography Conference. Put simply, cur... » read more

Survey: 2023 eBeam Initiative Luminaries Survey Results


Luminaries are confident in high-NA EUV and curvilinear masks 12th Annual Luminaries Survey — July 2023 • Luminaries remain confident in broad High-NA EUV adoption by 2028 • Confidence doubled in leading-edge mask shops handling curvilinear mask demand • Curvilinear masks aren’t just for EUV • Luminaries are more confident about 2023 mask revenues than SEMI Click here to rea... » read more

193i Lithography Takes Center Stage…Again


Cutting-edge lithography to create smaller features increasingly is being supplemented by improvements in lithography for mature process nodes, both of which are required as SoCs and complex chips are decomposed and integrated into advanced packages. Until the 7nm era, the primary goal of leading-edge chipmakers was to pack everything onto a single system-on-chip (SoC) using the same process... » read more

Reflections On Photomask Japan 2023: Embracing The Era Of Curvilinear Masks


In April, 2023, I had the privilege of participating in Photomask Japan 2023 (PMJ2023), a web conference that brought together experts and enthusiasts in the field. The conference commenced with an enlightening keynote talk by Dr. Kurt Ronse of imec on the status and challenges of the high NA EUV ecosystem, presenting roadmaps for the introduction of high NA EUV. I would like to express my grat... » read more

How Curvilinear Mask Writing Affects Chip Design


As chips become more complex and features continue to shrink, it becomes more difficult to print shapes on photomasks. The ability to print curvilinear masks changes that equation, but not all of the pieces in the flow are automated today. Aki Fujimura, CEO of D2S, talks about what has to change, what will the impact be on design rules, and why using curvilinear shapes can shrink the manufactur... » read more

Metrology Strategies For 2nm Processes


Metrology and wafer inspection processes are changing to keep up with evolving and new device applications. While fab floors still have plenty of OCD tools, ellipsometers, and CD-SEMs, new systems are taking on the increasingly 3D nature of structures and the new materials they incorporate. For instance, processes like hybrid bonding, 3D NAND flash devices, and nanosheet FETs are pushing the bo... » read more

2022 Survey: Luminaries Report Positive EUV Impact On Mask Trends


The eBeam Initiatives 11th Annual Luminaries Survey from July 2022 shows • EUV viewed as a positive impact for mask revenue • EUV remains the top reason for purchasing multi-beam mask writers • Confidence remains high in ability to make curvilinear masks with availability of multi-beam mask writers less of an issue this year Click here to read the survey results. » read more

← Older posts