What Happened To Next-Gen Lithography?


Chipmakers continue to march down the process technology curve. Using today’s optical lithography and multiple patterning, the semiconductor industry is scaling its leading-edge devices far beyond what was once considered possible. The question is how far can the industry extend 193nm immersion [getkc id="80" comment="lithography"] and multiple patterning before these technologies become t... » read more

DSA: Hype Or Revolution?


Directed self-assembly (DSA) has become the subject of a great deal of research attention in the lithography world, to the point where there were dedicated sessions at this year’s Advanced Lithography conference in February. So is this just another passing research fad, or is it a technology that will revolutionize semiconductor manufacturing? DSA utilizes a block copolymer that effectivel... » read more

DFM And Multipatterning


Semiconductor Engineering sat down to discuss DFM at advanced nodes with Kuang-Kuo Lin, director of foundry design enablement at Samsung Electronics; Jongwook Kye, lithography modeling and architecture fellow at GlobalFoundries; David Abercrombie, advanced physical verification methodology program manager at Mentor Graphics; Ya-Chieh Lai, engineering director for DFM/CLS silicon signoff and ver... » read more

What If EUV Fails?


It’s the worst kept secret in the industry, but extreme ultraviolet (EUV) lithography will likely miss the 10nm node. So, chipmakers will likely extend and use today’s 193nm immersion lithography down to 10nm. This, of course, will require a complex and expensive multiple patterning scheme. Now, chipmakers are formulating their lithography strategies for 7nm and beyond. As it stands now,... » read more

Gaps In Metrology Could Impact Yield


For some time, chipmakers have been developing new and complex chip architectures, such as 3D NAND, finFETs and stacked die. But manufacturing these types of chips is no simple task. It requires a robust fab flow to enable new IC designs with good yields. In fact, yield is becoming a more critical part of the flow. Yield is a broad term that means different things to different parts of the ... » read more

IMEC’s 30th Anniversary: A Consortium With Impact


In the history of semiconductor technology, one of the critical non-technology changes was the point when the biggest companies realized that they could not afford to do all the basic R&D. They agreed to collaborate in “pre-competitive” phase development through consortia such as IMEC and Sematech. IMEC is celebrating its 30th anniversary, and it’s interesting to recognize the signifi... » read more

Waiting For Next-Generation Lithography


Nearly 30 years ago, optical lithography was supposed to hit the wall at the magical 1 micron barrier, prompting the need for a new patterning technology such as direct-write electron beam and X-ray lithography. At that time, however, the industry was able to push optical lithography for volume chip production at the 1-micron node and beyond. This, in turn, effectively killed direct-write e-... » read more

DSA, Multi-beam Make Steady Progress


Semiconductor Engineering sat down to discuss current and future lithography challenges with Laurent Pain, lithography lab manager at CEA-Leti. What follows are excerpts of that conversation. SE: CEA-Leti has two major programs in lithography. One is in directed self-assembly (DSA) and the other is in multi-beam e-beam. Let’s start with multi-beam. What is Leti doing in multi-beam and what... » read more

The Week In Review: Manufacturing & Design


A new study reveals that a majority of Americans are making some costly miscalculations regarding the performance of their existing PCs. The survey reveals that Americans lack financial savvy when faced with slow computers. Germany’s Merck KGaA, a pharmaceutical, chemical and life science company, announced an agreement with AZ Electronic Materials, under which Merck KGaA would acquire AZ.... » read more

Applied-TEL Watch


By Mark LaPedus So far this year, the biggest story in the fab tool industry is fairly obvious—Applied Materials recently signed a definitive agreement to acquire rival Tokyo Electron Ltd. (TEL) for about $9.3 billion. The blockbuster announcement will likely be the top story of 2013. Of course, the integration of Applied and TEL will be a challenge. In any case, the Applied-TEL deal is i... » read more

← Older posts Newer posts →