DSA: Hype Or Revolution?

There are no certainties anymore in lithography, but directed self-assembly does present some significant advantages that will carry through for at least several nodes.

popularity

Directed self-assembly (DSA) has become the subject of a great deal of research attention in the lithography world, to the point where there were dedicated sessions at this year’s Advanced Lithography conference in February. So is this just another passing research fad, or is it a technology that will revolutionize semiconductor manufacturing?

DSA utilizes a block copolymer that effectively works like a surfactant molecule, naturally separating “self assembles” into two phases, where the size of the phases are determined by the lengths of the two different ends of the molecule. The self-assembly is “directed” by a guide pattern created by conventional lithography.

There are several real advantages of DSA:

  1. It only requires guides for every other, or every fourth pattern, and the self-assembly fills in the gaps;
  2. DSA doubles or quadruples resolution of existing lithography systems;
  3. It’s an extension of the current standard lithography, 193nm immersion optical.

It should come as no surprise by now to anyone in the semiconductor industry that conventional scaling of lithography has stalled, making the march to smaller features with shorter wavelengths and higher numerical aperture much more difficult. Extreme UV was the natural successor to 193 immersion, using 13 nm “light,” but there is still an unsolved problem of how to make a bright enough light bulb. Other alternatives such as multiple electron beam and imprint are still in various stages of development.

In the interim, the industry has settled on implementing a back-up plan using multiple exposures to create a single pattern, but the costs scale with the number of exposures. DSA using block copolymers is a way to implement multiple exposures without the cost penalty.

Origins and benefits
Block copolymers have been around since the 1970s, and have been seriously considered for lithography in the last 10 years. This has introduced a whole new community of materials researchers to semiconductors.

Much of the research can be done relatively inexpensively, as well, which has fueled much of the interest around this technology. The result is that today, resolution of the phases does not appear to be an issue, with a well-understood path to future improvements by controlling the length and chemistry of the ends of the molecules. The directing of the self-assembly is achieved by using guide patterns that are attractive to one phase over the other. Engineering the surface to direct most efficiently has been a major focus and has helped to get cycle time down to typical production numbers.

Major industry players such as Tokyo Electron Ltd. (TEL) have developed equipment, and JSR Micro and AZ Electronic Materials have developed materials, so the supply chain is available, as well.

The use of a phase separating material to create a feature has one big limitation—it can only make patterns with one pitch and one line width. The pitch and line width are set by the size of the ends of the molecule. Ten years ago this limitation would have been a showstopper. Today, however, simple fixed pitch line patterns have become standard because at the limit of lens resolution these are the only patterns that can be imaged. The device designers have been forced to add these new design rules to the design layout tools, and live with it.

Tempered optimism
Mike Lercel, chief technologist at Sematech, has worked in lithography for many years at IBM and Sematech. He observed that “the published pictures of devices from IBM and Intel and flash suppliers show that they have all accepted the design constraints of lines and cuts; it is less clear for foundries.”

To further push optical lithography, double patterning has taken these constraints to another level. One of the double patterning strategies is to use one mask to create continuous lines and another to create cuts in these lines. Now the stage is set for DSA to be used to frequency double the lines without adding a new lithography step. DSA competes with other doubling techniques such as spacer doubling, which uses sidewall deposition and etch to double the feature. In principle, DSA has better control and fewer operations—and therefore lower cost—than sidewall deposition.

The regularity of the features in DSA also has opened up a new opportunity. Small contact holes are the most difficult feature to image. DSA is being used to create very small regular contact holes on top of larger irregular guide patterns created by optical lithography.

There is complete agreement that defects are the barriers to production. The most recent published data was collected at the Imec consortium and involved a multi-company team from Imec, AZ, and TEL. Their data published at Advanced Lithography this February, showed 200 defects/cm-2. Mike Lercel concluded that DSA defect density data is four orders of magnitude higher then production immersion lithography. His rule of thumb is, “Consortia can get defect density down to within two orders of magnitude before a production fab must take over.”

Lercel’s assessment is that “DSA is moving toward production, particularly in contact hole shrink application. Line multiplication is further out in time, because there are more defects associated with feature creation then feature improvement”.

Ralph Dammel, CTO at AZ, has a more bullish view. He said Imec research, which AZ participated in, shows significant progress even though a lot of work still needs to be done. “Customer activity suggests they are close to production, although they never share defect data.” He also sees contact hole as the entry application. He is confident that DSA will go into production with the caveat that “something can always come out of left field.”

Matt Colburn, senior manager at IBM, looks at the opportunity from a logic fab perspective. While there have been significant efforts by IBM and other industry leaders, in his view DSA is still pre-competitive. The barriers are defects and design enablement within the foundry market. The optimization space in terms of materials, process, and design is challenging. He also pointed out that at this point “spacer doubling is a comparably more mature process with additional design flexibility”. DSA requires truly fixed pitch which is a benefit for higher levels of frequency multiplication, but constrains the design space. He would not be surprised if different solutions are chosen for different product types, because of the differences in process integration and design space.

Almost everything in semiconductor technology is subject to much greater uncertainty these days when the size of a feature can be counted in atoms, and new technologies such as EUV continue to be delayed. Still, the immediate advantages for DSA to be used in memory production seem pretty clear—it extends the industry standard 193nm optical lithography equipment, it appears to be a significant cost reducer, and it has extensibility to multiple nodes.



Leave a Reply


(Note: This name will be displayed publicly)