This Is What 450mm Wafers Look Like


The first fully patterned 450mm wafers were on display at SEMICON West 2014 in South Hall and also showcased in the 450mm Technology Development Session. Fully patterned 450mm wafers produced using Molecular Imprints’ Imprio nanoimprint lithography (NIL) tool have been shown before (including at SEMI ISS meeting in January 2013). However, the 450mm wafers on display at SEMICON West were produ... » read more

What Happened To 450mm?


By Mark LaPedus, Ed Sperling & Katherine Derbyshire There was a time not very long ago—one process node, in fact—when the economic momentum of Moore’s Law seemed unstoppable with a combination of extreme ultraviolet lithography, larger wafer sizes and a variety of new materials. Shrinking feature sizes is still technically possible, but certainly not with the same promised economic benef... » read more

Manufacturing Bits: July 15


Multi-beam hits milestone Mapper Lithography has reached a major milestone in its ongoing push to bring multi-beam, direct-write lithography into the mainstream. The Dutch-based company recently installed its initial pre-production tool at CEA-Leti, a French-based R&D organization. The tool, dubbed Matrix 1.1, is a multi-beam, e-beam system for direct-write applications. During the r... » read more

The Week In Review: Manufacturing


SPIE Advanced Lithography is a patterning show. At the event, however, Applied Materials revealed more details regarding its selective materials removal opportunity, according to Weston Twigg, an analyst with Pacific Crest Securities, in a research note. Applied Materials presented a paper entitled, “Where Is Plasma Etching Going from Here?” “The presenter outlined concepts for thin layer... » read more

450mm Silicon Wafer Issues Emerge


By Mark LaPedus The most critical component in semiconductor manufacturing is arguably the silicon wafer, but the substrate is often taken for granted in the supply chain. After all, silicon wafer makers have nearly perfected their craft over the years and produce what many consider mere commodities. And on the business front, silicon wafer makers often find themselves with excess capacity... » read more

450mm: Out Of Sync


By Mark LaPedus The IC industry has been talking about it for ages, but vendors are finally coming to terms with a monumental shift in the business. The vast changes involve a pending and critical juncture, where the 450mm wafer size transition, new device architectures and other technologies will likely converge at or near the same time. In one possible scenario, 450mm fabs are projected ... » read more

Consortium Mania Sweeps 450mm Landscape


By Mark LaPedus In the mid-1990s, the semiconductor industry embarked on a costly and problematic migration from 200mm to 300mm wafer fabs. At the time, the 300mm development efforts were in the hands of two groups—Sematech and a Japanese-led entity. The equipment industry was on the outside looking in. And as a result, the migration from 200mm to 300mm fabs was out of sync and a nightma... » read more

G450C To Align Vendors During 450mm Transition


By David Lammers Innovation and synchronization among multiple companies do not often go hand in hand. But for the 450mm wafer transition to provide its full benefits, chip makers and their suppliers will need to do more than a simple wafer size scale up. That may lead the Global 450 Consortium (G450C) to serve as the proving ground for efforts to more closely match the electrical results o... » read more