The Week In Review: Manufacturing

Future of plasma etch; state of litho; mask hot spots; eBeam presentations at SPIE.

popularity

SPIE Advanced Lithography is a patterning show. At the event, however, Applied Materials revealed more details regarding its selective materials removal opportunity, according to Weston Twigg, an analyst with Pacific Crest Securities, in a research note. Applied Materials presented a paper entitled, “Where Is Plasma Etching Going from Here?” “The presenter outlined concepts for thin layer etching, and even atomic layer etching, that can very precisely and selectively remove targeted materials,” Twigg said. “This could be used for things like dummy gate removal for fin replacement as the industry moves to new gate materials like InGaAs, or for simply creating more perfectly shaped fins to support continued scaling. The presenter even suggested that etching could be greatly simplified, using a single selective etch rather than perhaps six separate etch steps today. It could also be very useful in creating nanowires (potential 5nm insertion), by removing all of the material around and under the wire. This is very interesting stuff, but it’s early, and we expect a tradeoff somewhere, likely in throughput, in our view.”

Applied Materials and Tokyo Electron Ltd. (TEL) stated they have received notice from the Committee on Foreign Investment in the United States (CFIUS) that there are no unresolved national security issues relating to the companies’ proposed business combination announced on Sept. 24, 2013. The clearance by CFIUS was without conditions and terminates CFIUS review of the transaction.

If you missed SPIE, here’s what lithographers were thinking at the event. “Plan A—single pass EUV—is not yet viable, so plan B—extending immersion lithography through a number of creative techniques—is moving forward. Chipmakers appear resigned to the fact that EUV is late, and understand that it may not ever be fully ready for mass production, so there is an intensifying focus on how to extend immersion lithography,” Twigg added.

Mask hotspots are escaping the mask shop, but model-based verification can stop them, according to Aki Fujimura, CEO of D2S, in a white paper. “Although the overwhelming majority of wafer production issues at the 28nm-­‐and-­‐below process nodes are lithography-­‐and OPC-­‐related, the semiconductor industry is starting to see problems caused by mask hotspots: wafer‐level production issues that are caused when the shapes specified by optical proximity correction (OPC) are not faithfully reproduced on the mask,” according to Fujimura.

The 2014 SPIE eBeam Initiative lunch featured presentations by D2S, DNP and Mentor Graphics. The presentations can be found here.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam technologies, announced the top educational themes that it will highlight in 2014. In related news, Sage Design Automation (Sage-DA) has joined the eBeam Initiative to support its educational goals and bring Sage-DA’s perspective to the eBeam community.

Vistec Electron Beam GmbH, a supplier of electron-beam lithography systems, announced that Fraunhofer ENAS in Chemnitz has purchased a variable shaped beam system. The system is called the Vistec SB254. The research center will utilize the new electron-beam lithography system for their micro and nano technologies in a wide range of applications.

MIT, sponsored by Semiconductor Research Corporation (SRC), have introduced new directed self-assembly (DSA) techniques that promise to help semiconductor manufacturers develop more advanced and less expensive components.

Dainippon Screen Mfg.’s subsidiary, SOKUDO, said its DUO 450mm coat/develop track system has been chosen by the Global 450mm Consortium (G450C). The system will be used for immersion ArF lithography and Directed Self-Assembly (DSA) applications.

GlobalFoundries and Fraunhofer Institute for Integrated Circuits IIS announced the extension of their long-term collaboration, focusing on 40nm and 28nm processes. The foundry vendor will also join the European Multi Product Wafer (MPW) Program EUROPRACTICE.

RF Micro Devices and TriQuint Semiconductor announced a definitive merger agreement under which the companies will combine in an all-stock transaction.

Electro Scientific Industries announced that Edward Grady, a member of the company’s board, has been appointed president and CEO of the company to succeed Nicholas Konidaris.



Leave a Reply


(Note: This name will be displayed publicly)