Mask Metrology Challenges Grow


Photomasks are becoming more complex at each node. In fact, masks are moving from traditional shapes to non-orthogonal patterns and complex shapes, such as curvilinear mask patterns. To measure patterns and shapes on the mask, photomask makers use traditional critical-dimension scanning electron microscopes (CD-SEMs). In general, the CD-SEM, the workhorse metrology tool in the mask shop, use... » read more

Pick A Number


For the past two years there was some mumbling that 16/14nm would be short-lived, and that 10nm would be the place that foundries would invest heavily. Now the buzz is that 10nm may be skipped entirely and the next node will be 7nm. After all, 10nm is really only a half-node. Or is it? The answer depends on who's defining 10nm. The 16/14nm node is based on a 20nm back-end-of-line process, un... » read more

Manufacturing Bits: August 4


Diamond metrology The U.S. Department of Energy’s Ames Laboratory is building a new instrument called an optical magnetometer. The system will help researchers understand the properties of new magnetic nanomaterials. The system, dubbed the NV-magnetoscope, makes use of the properties of nitrogen-vacancy (NV) centers in diamonds. According to researchers, diamonds have a flaw, or imperfect... » read more

Manufacturing Bits: July 21


Graphene metrology Harvard University, Monash University and the U.S. Department of Energy’s Lawrence Berkeley National Laboratory have developed a new technique that provides atomic-scale images of colloidal nanoparticles. The technique, dubbed SINGLE, stands for 3D Structure Identification of Nanoparticles by Graphene Liquid Cell Electron Microscopy. Using the technology, researchers ha... » read more

In-Die Registration Measurement Using Novel Model-Based Approach For Advanced Technology Masks


In recent years, 193nm immersion lithography has been extended instead of adopting EUV lithography. And multi-patterning technology is now widely applied, which requires tighter specification as the pattern size gets smaller on advanced semiconductor devices. Regarding the mask registration metrology, it is necessary to consider some difficult challenges like tight repeatability and complex In-... » read more

Manufacturing Bits: June 16


Harmonic EUV The U.S. Department of Energy’s Lawrence Berkeley National Laboratory has devised an efficient extreme ultraviolet (EUV) source. The technology could one day be used for a new class of metrology tools, based on angle-resolved photoemission spectroscopy (ARPES). This technique makes use of a photoelectric effect for studying materials. To enable the source, Berkeley Labs devel... » read more

Manufacturing Bits: May 26


Table-top EUV Swinburne University of Technology has developed a table-top extreme ultraviolet (EUV) laser power source. The source could be used to develop a system for use in metrology and other applications. The table-top setup is a new way to generate bright beams of coherent EUV radiation. It may offer a cost-effective alternative to large-scale facilities, such as synchrotrons or free... » read more

Waiting For Next-Gen Metrology


Chipmakers continue to march down the various process nodes, but the industry will require new breakthroughs to extend IC scaling at 10nm and beyond. In fact, the industry will require innovations in at least two main areas—patterning and the [getkc id="36" comment="Interconnect"]. There are other areas of concern, but one technology is quickly rising near the top of the list—metrology.... » read more

Addressing Thin Film Thickness Metrology Challenges Of 14nm BEOL Layers


This paper describes a method to effectively monitor the film stack at different metal CMP process steps using a spectroscopic ellipsometer metrology tool. By proper modeling of the Cu dispersion and simulating the underlayer film information underneath the Cu pad, a single measurement recipe was developed which can be used to monitor each process step in the metal CMP process with stable and r... » read more

Next-Gen Metrology: Searching For A Bright X-Ray Source


By Debra Vogler Metrology for semiconductor applications is a broad topic regardless of whether one is talking about front-end-of-line (FEOL) or back-end-of-line (BEOL) technologies. Benjamin Bunday, project manager, CD Metrology and senior member of the technical staff at SEMATECH, broke down the topic of next-generation metrology at 10nm and below into four main categories for SEMI: • I... » read more

← Older posts Newer posts →