Taming Mask Metrology

More measurements are required across more masks at advanced nodes, adding time and cost.

popularity

For years the IC industry has worried about a bevy of issues with the photomask. Mask costs are the top concern, but mask complexity, write times and defect inspection are the other key issues for both optical and EUV photomasks.

Now, mask metrology, the science of measuring the key parameters on the mask, is becoming a new challenge. On this front, mask makers are concerned about the critical dimensions (CDs), registration and other issues on the photomask.

The CDs involve the feature dimensions on the mask. The tool that measures the CDs is called a critical-dimension scanning electron microscope (). Meanwhile, registration deals with the accurate placement of the features on the mask. Typically, registration metrology handles the registration and overlay issues.

In any case, mask metrologists face several challenges. First, the mask is becoming more complex and the features are getting smaller at each node. Second, the specs are getting tighter. Third, the number of masks per mask-set are increasing at each node. And finally, each mask layer within the mask-set must be perfectly aligned.

As a result of these trends, mask makers must take more measurements than ever before with the various metrology tools. “The measurement numbers per mask are exploding. We may measure the mask at 200 places, but some customers want to measure 5,000 places,” said Naoya Hayashi, a fellow at Dai Nippon Printing (DNP). “There is not only the CD, but also registration overlay. Normally we measure the overlay alignment mark outside the chip. Now customers want the exact measurement inside the die. It could be in a thousand places.”

The need to take more measurements on the mask translates into time and money for customers. “For CD measurements, increasing measurement points make for longer TATs and higher cost,” Hayashi said.

TAT, or turnaround time, refers to the cycle time to fabricate a mask. The TAT is going up at each node. For example, it takes three times longer to make a 22nm mask compared to a 250nm reticle, according to experts.

So the big question is clear: Can the current metrology tools keep up amid the shift towards more complex masks?

As it turns out, mask makers can make do with their current metrology tools, but they also have a wish list. Near term, the photomask industry wants faster metrology tools with in-die capabilities. And in the future, they want multi-beam metrology tools, CD-SEMs with simulation capabilities and extreme ultraviolet (EUV) metrology.

Some of these tools are becoming a reality, while still others may never appear. The problem is money, as there is a shortfall of funding for metrology technology in general.

Mask metrology challenges
Manufactured in what’s called a mask shop, the photomask is a template or master copy of what will be printed on the final wafer. Generally, an optical mask consists of an opaque layer of chrome on a glass substrate.

In the mask production flow, the first step is to obtain the data from a given IC design. Then, the data is fed into an e-beam mask-writing tool, which converts it into a pattern on the mask.

And that’s where the problems begin for mask makers. The data itself corresponds to a given lithography technology used in the fab.

In the fab, chipmakers are extending optical lithography at advanced nodes amid delays for EUV lithography. “EUV isn’t going to be available in any meaningful way before 7nm,” said Dave Hemker, senior vice president and chief technology officer at LAM Research. “Multiple patterning has been going on for awhile. Now, you are seeing that becoming more pervasive. You are seeing a move from double-, to triple-, to quad-patterning.”

So, to deal with the diffraction issues in optical, mask makers must use various reticle enhancement techniques (RETs) on the mask. One RET, called optical proximity correction (OPC), is used to modify the mask patterns to improve the printability on the wafer. OPC makes use of tiny sub-resolution assist features (SRAFs) on the mask.

So for today’s optical masks, the trends are clear. “The patterns and the number of patterns are increasing,” said So-Eun Shin Lee, a researcher from Samsung.

Others agree. “The mask shapes got smaller and more complex as we went to 14nm, and it will continue to get smaller and even more complex at 10nm,” said Aki Fujimura, chief executive of D2S. “Although the main features being written are the same size as before, the process window required is greater. This will increase the demand on OPC to deliver increasingly complex shapes. The minimum SRAF sizes will be smaller than 60nm, and the shapes expected on the mask after the process blur will be less and less orthogonal 1D features, and more and more curvilinear shapes. OPC will output rectilinear shapes, but the jog sizes are small enough that the expected mask shapes will be curvilinear.”

Meanwhile, after the mask is patterned, the mask goes the following steps in order—etch, mask repair, inspection and metrology. Clearly, inspection and metrology are the key parts of the flow. If there is a defect or a problem on the mask, it will get printed on the final wafer.

And as masks become more complex, the trends are becoming more apparent. “You are spending a lot of time with metrology and inspection,” said Thomas Faure, a technical staff member at GlobalFoundries, at the recent SPIE Photomask conference.

In fact, the total time for inspection and metrology for a mask could run some 18 hours today, which is roughly double the amount of time as compared to several years ago.

Generally, a leading-edge mask is inspected for defects at least three times during the flow. Then, in the metrology flow, the global and systematic error sources are addressed. Then, focus shifts to the local and/or hot spot errors on the mask. All told, a large amount of measurement data is required to sort out the problems.

The solutions
The industry is taking several steps to solve the problems. On the CD side of the equation, mask makers use CD-SEMs, which use electron beams to form images of tiny structures at high magnifications.

The CD-SEM is sufficient to measure traditional mask shapes. But the CD-SEM is struggling to keep up amid the shift towards more complex patterns and shapes on the mask. Most complex mask patterns don’t have uniform CDs after OPC or inverse lithography.

In addition, the number of mask defect issues flagged during mask inspection increases, but not all of these will actually result in yield problems on the wafer.

So for complex masks, the CD-SEM requires new capabilities. “It requires 3D litho simulation with 3D SEM imaging,” said Takayuki Nakamura, general manager of Nanotechnology Business Division at Advantest, a supplier of CD-SEMs and other equipment.

To advance the CD-SEM, D2S has partnered with Advantest to integrate D2S’ wafer plane analysis engine into Advantest’s E3640 line of CD-SEMs.

This, in turn, provides two new capabilities for the CD-SEM—mask plane metrology and wafer plane metrology. “In the new world, you need both,” said Linyong (Leo) Pang, chief product officer and executive vice president of D2S. “In mask plane metrology, instead of measuring CDs, you have to extract the contours that measure edge placement error. So you are doing 2D metrology. In wafer plane metrology, you basically convert this back to 1D metrology, so you can measure the CD.”

While the industry has solved some of the CD metrology issues, mask makers still want to conduct more measurements at a faster rate. For this, mask makers want a more revolutionary tool—a multi-beam e-beam metrology tool.

Several toolmakers are developing multi-beam systems for wafer and EUV mask inspection. But a viable multi-beam system is not expected to appear for several years.

Besides CD metrology, there is a need to measure the placement of the features on the mask. For this, photomask makers use registration metrology. In simple terms, a tool places a large number of markers on the mask. The markers are measured and then averaged, which in turn reveals the registration errors.

“Generally, in the mask, we cannot inspect everywhere,” said Banqiu Wu, principal member of the technical staff and chief technology officer for the Mask and TSV Etch Division at Applied Materials. “You only measure some points. We assume if we control this area when we design the mask, we can control the whole thing. But because of pattern density, and proximity effects, maybe we need more measurement points.”

Mask makers may need to take more measurements as the specs get tighter. For example, the next-generation registration spec is around 2.5nm, compared to 5.4nm just five years ago, according to experts.

There are other issues. The registration challenges are growing due to multi-patterning. So, the industry needs faster and more accurate registration metrology tools. “It is increasingly clear that the tight wafer process window is fundamentally changing both where we measure and how many targets we need to measure on photomasks,” said Yalin Xiong, general manager of the Reticle Products Division at KLA-Tencor.

Generally, registration tools don’t always conduct the measurements on the actual device, but rather on small objects called targets. Targets are pre-fabricated, diffraction-based structures. The target mimics the behavior of the device.

What’s changed is that toolmakers are moving towards in-die registration. In-die puts the targets on the actual device, which enables better correlations between the various measurements.

KLA-Tencor, for one, recently rolled out an in-die registration tool. “The solution must explicitly address the challenges we are facing, in addition to the scaling of measurement accuracy and precision,” Xiong said. “We are providing measurement not only for multiple on-device patterns on the same masks, but we are doing this two to three times faster than before.”

Metrology for optical masks is hard enough. To be sure, metrology for EUV masks is daunting. For EUV, the SRAF sizes on the mask range from 32nm to 40nm, compared to 60nm for optical, according to Peter Buck, manager of MDP and platform solutions at Mentor Graphics.

Mask makers may be able to use their current metrology tools for EUV masks. But is that enough? “Metrology requirements for EUV masks are not well understood, but it will likely require additional solutions to measure mask shape, reflectivity at EUV wavelength, and absorber side wall angles,” KLA-Tencor’s Xiong said.

The good news is that the industry is working on a new class of EUV metrology tools. But even with current and future metrology tools, EUV mask TATs, along with costs, will likely soar.



Leave a Reply


(Note: This name will be displayed publicly)