Gaps Remain For EUV Masks


Extreme ultraviolet (EUV) lithography is once again at a critical juncture. The oft-delayed technology is now being targeted for 7nm. But there are still a number of technologies that must come together before EUV is inserted into mass production at that node. First, the EUV source must generate more power. Second, tool uptime must improve. Third, the industry needs better EUV resists. A... » read more

zeroK NanoTech: FIB Circuit Edit


Focused ion beam (FIB) circuit editing is an enabling technology that has been around for some time. Using a standard FIB tool, a chipmaker can basically edit portions of a circuit before it goes into production. It allows chipmakers to debug chips, cut traces, add metal connections and perform other functions. One startup, zeroK NanoTech, is putting a new and innovative twist on FIB circui... » read more

Mask Metrology Challenges Grow


Photomasks are becoming more complex at each node. In fact, masks are moving from traditional shapes to non-orthogonal patterns and complex shapes, such as curvilinear mask patterns. To measure patterns and shapes on the mask, photomask makers use traditional critical-dimension scanning electron microscopes (CD-SEMs). In general, the CD-SEM, the workhorse metrology tool in the mask shop, use... » read more

Survey: Mask Complexity To Increase


The eBeam Initiative today released its annual members’ perceptions survey, a set of results that reveals some new and surprising data about EUV, multi-beam and photomask technology. As part of the results in the new survey, there is a growing level of optimism for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing, as compared to last year’s results... » read more

Mask Supply Chain Preps For 10nm


As the semiconductor industry gears up for the 10nm logic node—now likely to begin in the second half of 2017—the photomask supply chain is preparing to grapple with the associated challenges, including dramatic increases in photomask complexity, write times and data volumes. The 10nm node will require more photomasks per mask set, the ability to print smaller and more complex features, ... » read more

Tech Talk: Wafer Plane Analysis


Leo Pang, executive vice president at D2S, talks about the problems of patterning at 40nm and below and how to deal with them more effectively using existing equipment. [youtube vid=FbRyhw2q3fE] » read more

The Week In Review: Manufacturing


Christopher Rolland, an analyst at FBR, made a startling statement in a recent report. “At the pace of consolidation set thus far this year, 32% of all U.S. publicly traded semiconductor companies would be acquired in 2015! While this run-rate is not likely sustainable and should slow as the year progresses, we still expect ~15% consolidation rates for the remainder of this cycle (above low-t... » read more

The Week In Review: Manufacturing


Investment firm TIG Advisors, a stockholder of Altera, has urged stockholders to vote against Altera’s lead independent director to the board. TIG also contends that Altera has failed stockholders by rejecting a recent acquisition bid from Intel. Altera’s 14nm foundry partner is Intel, while TSMC handles the 20nm and above foundry work. Soon, Altera will choose a 10nm foundry partner. “Sh... » read more

Fab Tool R&D And Ramen Noodles


The semiconductor equipment and materials industry has always been a tough business. Over the years, vendors have been under pressure to develop new technologies for a shrinking but demanding customer base. And as a result, many vendors could not keep up, or elected to exit the business, causing a massive shakeout in the industry. It isn’t getting any easier, though. Today, tool and... » read more

Challenges Mount For EUV Masks


Five years ago, Intel urged the industry to invest millions of dollars in the photomask infrastructure to help enable extreme ultraviolet ([gettech id="31045" comment="EUV"]) lithography. At the time, there were noticeable gaps in EUV, namely defect-free masks and inspection tools. To date, however, Intel’s call to action has produced mixed results. The photomask industry is making progr... » read more

← Older posts Newer posts →