Week In Review: Manufacturing, Test


Chipmakers TSMC has introduced another version of its 4nm process technology. The process, called N4X, is tailored for high-performance computing products. Recently, TSMC introduced another 4nm process, called N4P, which is an enhanced version of its 5nm technology. N4X is also an enhanced version of its 5nm technology. N4X, however, offers a performance boost of up to 15% over TSMC’s N5 pro... » read more

Demand, Lead Times Soar For 300mm Equipment


A surge in demand for various chips is causing select shortages and extended lead times for many types of 300mm semiconductor equipment, photomask tools, wafers, and other products. For the last several years, 200mm equipment has been in short supply in the market, but issues are now cropping up throughout the 300mm supply chain, as well. Traditionally, lead times have been three to six mont... » read more

Week In Review: Manufacturing, Test


Fab tools Citing the outbreak of the coronavirus in China, SEMI has postponed Semicon/FPD China 2020 and related events originally scheduled for March 18-20, 2020. For the same reason, SEMI will no longer host Semicon Korea 2020 in Seoul, South Korea, February 5-7, as originally scheduled. ------------------------------- Veeco has introduced the new Lumina Metal Organic Chemical Vapor De... » read more

Outlook For Masks, Materials and Wafers


After a slowdown in the first half of 2019, chipmakers and equipment vendors face a cloudy outlook for the second half of this year, with a possible recovery in 2020. But what about other key technologies like materials, photomasks and silicon wafers? These are also critical for the semiconductor supply chain and are key indicators where the market is heading. In the first half of 2019, m... » read more

Week In Review: Manufacturing, Test


Chipmakers Cree posted its results for the third quarter of fiscal 2019 ended March 31. Revenue from continuing operations was $274 million, a 22% increase compared to revenue from continuing operations of $225 million in the like period a year ago. As previously announced, Cree executed a definitive agreement to sell its Lighting Products business to IDEAL. As a result, Cree’s Wolfspeed ... » read more

Mixed Outlook For Silicon Wafer Biz


After a period of record growth, the silicon wafer industry is off to a slow start in 2019 and facing a mixed outlook. Generally, 200mm silicon wafer supply remains tight. But demand for 300mm silicon wafers is cooling off in some segments, causing supply to move toward equilibrium after a period of shortages. On average, though, silicon wafer prices continue to rise despite the slowdown. ... » read more

The Week In Review: Manufacturing


Fab tools and test VLSI Research released its annual "Customer Satisfaction Survey" and listed "THE BEST Suppliers" of 2018. VLSI Research received feedback from more than 94% of the chip market and 76% of subsystems customers for this year’s survey. Who are the winners? Applied Materials reported its second quarter results, along with its business outlook. Compared to the second quarter ... » read more

It’s a Materials World, With Positive Forecast


By Michael Fury What’s the latest in materials forecasts for ALD/CVD precursors, CMP consumables, electronic gases, silicon wafers and sputtering targets? Techcet gives us an update. Metal Gate and Electrode Precursors to Double in Five Years Use of front-end Ta and W metal gate and Hf gate dielectric precursors will grow over 2.5x by 2020, according to a new report from Techcet, “20... » read more

450mm Silicon Wafer Issues Emerge


By Mark LaPedus The most critical component in semiconductor manufacturing is arguably the silicon wafer, but the substrate is often taken for granted in the supply chain. After all, silicon wafer makers have nearly perfected their craft over the years and produce what many consider mere commodities. And on the business front, silicon wafer makers often find themselves with excess capacity... » read more

The Week In Review: July 15


By Mark LaPedus There are more problems surfacing with extreme ultraviolet (EUV) lithography. Yes, the light source remains a problem, but the resists appear to be in decent shape. “The next challenge is the mask blank,” said Stefan Wurm, director of Sematech’s lithography program. The new problem involves ion beam deposition, which apparently is causing defects and overfill on EUV masks... » read more