Mixed Outlook For Silicon Wafer Biz

300mm market softening, 200mm still strong.

popularity

After a period of record growth, the silicon wafer industry is off to a slow start in 2019 and facing a mixed outlook.

Generally, 200mm silicon wafer supply remains tight. But demand for 300mm silicon wafers is cooling off in some segments, causing supply to move toward equilibrium after a period of shortages. On average, though, silicon wafer prices continue to rise despite the slowdown.

This affects the entire semiconductor industry because silicon wafers are a fundamental part of the business. Every chipmaker needs to buy them in one size or another. Silicon wafer vendors produce and sell bare or raw silicon wafers to chipmakers, who in turn process them into chips.

But 2019 is different than past years. From 2016 to 2018, silicon wafer makers saw booming demand, causing tight supply and high prices for wafers. In 2019, though, the IC market is slowing, which impacts the silicon wafer industry on various fronts. Among them are:

  • 300mm wafer demand is flat after a period of growth.
  • 300mm wafer supply is loosening up, while 200mm is tight.
  • Worldwide capacity utilization for 300mm wafers will hover around 95% in 2019, compared to 100% in 2018, according to Sumco, a silicon wafer supplier.

“The consumption rates are going to be up. There is new capacity coming in and the shift toward more advanced nodes will drive epi wafer demand,” said Damian Thong, an analyst at Macquarie Securities. “The 300mm wafer market is likely to be flat this year. There was a weak start in some markets in the first quarter. There is also a slowdown in some of the memory CapEx expansions.”

That’s positive news for chipmakers. For some time, IC vendors, which buy wafers, were placed on allocation for 300mm wafers. “We’re moving toward where I don’t think anyone will be on allocation,” Thong said. “It’s a function of the fact that the wafer makers are still ramping up capacity, whereas the demand has come off.”

On the downside, overall blended wafer prices are still going up, he said. It’s unclear how long the situation will last. But at some point, the IC market will rebound, causing another spike in demand for wafers.

In the short term, there is enough wafer capacity to meet demand. Vendors are ramping up new capacity, while China-based suppliers are also gearing up. Even so, there may not be enough capacity to meet demand after 2020. “It’s fairly clear that we will return to a shortage of wafers within two years,” Thong added.

Silicon wafer landscape
In total, silicon wafer area shipments reached a record high of 12,732 million square inches (MSI) in 2018, up 8% over 2017, according to SEMI. Silicon wafer revenues were $11.38 billion in 2018, up 31% over 2017, according to SEMI. In total, silicon area demand will grow by 3.6% in 2019, according to IHS Markit.


Fig. 1: Annual Silicon Industry Trends Source: SEMI

Over the years, meanwhile, the silicon wafer business has consolidated from more than 20 suppliers in the 1990s to a handful of large players today. The top five producers control 90% of the market. Shin-Etsu Handotai (SEH) is the leader with 30% market share, followed by Sumco (27%), according to Siltronics. Siltronics and GlobalWafers are battling for third place with SK Siltron in fourth.

Consolidation swept the industry for several reasons. It requires a significant amount of capital to compete in the business, and over the years, the smaller players were gobbled up by larger vendors.

It also requires know-how. In the silicon wafer production flow, the process starts with polysilicon. Polysilicon is melted in a large crucible. A silicon seed crystal is lowered into the crucible. The resulting body is called an ingot, which is pulled and sliced into raw or bare silicon wafers at various sizes. The sizes include 300mm, 200mm and smaller.

Vendors make different types of wafers based on the application. The main wafer product types include epitaxial, polished, SOI and test.

Used for logic devices, epitaxial wafers consist of a monocrystalline silicon layer grown on the substrate. Polished wafers are used for memory. These require ultrapure substrates with flat and clean surfaces.

Silicon-on-insulator (SOI) wafers incorporate a thin insulating layer in the substrate. Test wafers are a sizable market. For this, chipmakers run test wafers through the equipment in a fab to determine the cleanliness of a tool or process.

The silicon wafer industry, meanwhile, is changing. For years, chipmakers wanted wafer vendors to expand their capacities to meet demand. So wafer makers built plants and competed on price, leading to overcapacity, low prices and losses.

The industry hit rock bottom a decade ago. “In 2009, we went through an inflection point,” said Mark Thirsk, managing partner at Linx Consulting. “Unit volume continued to grow, but the price per square inch on average declined. There was a glut of capacity.”

At that point, the industry built little or no capacity. “It got to the point where there was no incentive for the silicon guys to re-invest,” Thirsk said.

The tables started to turn in 2016, when the IC industry entered into a boom cycle, causing a spike in demand for wafers. But there was a shortfall of capacity, causing tight supply for 200mm and 300mm wafers.

The shortfall extended into 2017, and prices went up. Needless to say, chipmakers wanted more silicon wafer capacity with better pricing.

Generally, wafer makers had capacity in place. Some capacity was in the form of existing plants with no equipment, which is referred to as a brownfield facility. That differs from a greenfield facility, which is a completely new plant.

But looking to avoid past mistakes, silicon wafer makers embarked on a different strategy—they would expand their capacity if chipmakers were willing to pay for it. So in 2017, several chipmakers signed contracts with wafer vendors. In return, wafer makers expanded their capacities. The contract prices were relatively high, but chipmakers were willing to pay a premium to obtain supply.

“Long-term contracts have been used in the past in the semiconductor industry,” said Richard Winegarner, president of Sage Concepts, a market research firm. They have historically served only as a security blanket for the wafer industry. If the semi industry were to go south the semiconductor fabs would simply stretch out their wafer deliveries or cancel their wafer orders. The fact is that the wafer manufacturers have little leverage. They can’t afford to sue their customers or even refuse to sell to them in the future, because the fabs have all the negotiating power.”

In 2018, meanwhile, the wafer market started off strong. By mid-2018, the memory business deteriorated, causing wafer demand to soften in some areas.

Overall, though, 2018 was a banner year for silicon wafer makers. “For the fifth year in a row, annual semiconductor silicon volume shipments reached record levels,” said Neil Weaver, director of product development and applications engineering at SEH America. Weaver is also the chairman of the SEMI Silicon Manufacturing Group, a sub-committee of the SEMI Electronic Materials Group.

Wafer slowdown?
It’s a different story in 2019. Citing a memory slowdown, the IC market is expected to grow 2.6% in 2019, according to the World Semiconductor Trade Statistics (WSTS) group. This compares to 15.9% growth in 2018, according to the WSTS.

The IC slowdown will impact the silicon wafer industry in three key areas in 2019—supply/demand, pricing, and capacity.

Overall demand is slowing with a relatively soft outlook projected in the first half of 2019. The outlook is cloudy in the second half. It depends on IC growth and geopolitical factors.

The supply/demand picture also depends on the technology and wafer size. For example, demand for 200mm fab capacity is strong. “For 8-inch, we are optimistic about the outlook in the long term. There’s still going to be many applications that drive 8-inch demand, such as power ICs, MCUs, automotive and IoT,” said Jason Wang, co-CEO of UMC, in a recent conference call.

So, 200mm wafer demand remains robust. Another factor is that wafer vendors are adding little or no new 200mm capacity, thereby keeping the supply tight. “The strength will continue in 200mm,” said Clark Tseng, director of Industry Research & Statistics at SEMI. “The new capacity coming online is for 300mm capacity. We don’t see much new 200mm wafer capacity other than China.”

China boasts several 200mm and 300mm silicon wafer makers. Still to be been, however, is whether China will become a factor in the market. China vendors are expected to ramp up big time in 2020. “The Chinese have set the production of 350,000 300mm per month as a goal. Some 350,000 out of an industry capacity of almost 7 million is not intimidating (only 5%). However if they are successful the semiconductor industry needs to understand a lesson from the photovoltaic industry. The Chinese solar wafer makers have reduced the selling price of a Czochralski grown solar wafer from $8 to $0.47 in less than a decade. That kind of price reduction would drive most of the current suppliers of semi wafers out of the business,” Sage’s Winegarner said.

The 300mm wafer market is a different story. “As of 4Q 2018, worldwide capacity for 300mm wafers is about 6.3 million,” according to officials from Sumco.

In 2019, meanwhile, demand is expected to be flat for 300mm wafers, although some areas are stronger than others. “The long-term outlook for the wafer industry is strong due to diversified semiconductor device end demand,” said Jijen Vazhaeparambil, vice president and general manager of the Surfscan-ADE division at KLA. “As we all know, there is some reduction in memory capacity investment due to softness in the mobile and data center markets. Some of this will be offset by growth in advanced foundry and logic.”

On the equipment front, KLA sees demand for process control tools, thanks to an uptick for epitaxial wafers used in foundry/logic. “We see strong demand for our advanced unpatterned wafer inspection and metrology systems due to the more stringent requirements of substrates for sub-10nm projects,” Vazhaeparambil said.

Still, amid the slowdown, wafer makers are skittish about adding new capacity and equipment. “I would expect that strong demand and tight supply will continue,” said Ronald Kramer, director of business development at Linton Crystal Technologies. “For the front-end equipment, customers are cautious and, in most cases, postponing new equipment installations. The reasons we are given are tariffs, Brexit, and the rest of the world economy, not including the U.S.”

Meanwhile, prices are another issue—they continue to rise. From 2016 to 2018, prices for silicon wafers jumped by 40%, according to Macquarie Securities.

As stated, many chipmakers signed long-term, fixed contracts with wafer vendors to guarantee supply. Others were forced to source wafers on the spot market.

Generally, chipmakers signed contracts when the prices were lower. “As the old contracts expire, they roll into new contracts at a higher price. So the blended prices will probably keep rising this year and next year,” Macquarie’s Thong said.

On average, silicon wafer prices rose from $0.80 to $0.90 per square inch in early 2018 to about $1.00 by the end of last year, according to Linx. “For 2019, the silicon suppliers would like another 20 cents per square inch. If they are being asked to supply wafers for new capacity, they will simply ask for higher prices. The users are pushing for price reductions,” Linx’s Thirsk said.

It’s unclear how the next round of negotiations will turn out. Another unknown is the long-term capacity situation. Generally, silicon wafer makers are expanding 300mm capacity at about 5% per year, according to Macquarie.

The current worldwide capacity of 300mm wafers is 11,600 to 11,900 MSI, according to some estimates. Then, the brownfield capacity equates to around 700 to 1,000 kwpm, or 950 to 1,350 MSI, according to Linx Consulting.

When the market picks up, the existing plants, plus the brownfield capacity, could meet demand, at least in the near term. But based on current estimates, there is not enough capacity to meet demand by 2022.

This means the industry needs new or greenfield plants. “We should be looking for some announcements this year from silicon manufacturers as to greenfield capacity expansions,” Thirsk said.

In 2019, Siltronic, Sumco and others will ramp up new 300mm capacity, according to Sage Concepts. Then, in 2020, China-based suppliers will expand, according to Sage.


Fig. 2: 300mm supply and demand forecast (Source: Sage Concepts)

Still, wafer makers won’t add capacity unless chipmakers pay for it and sign contracts. Chipmakers will ask for good terms, but wafer makers may balk, leaving chipmakers little choice but to sign. Otherwise, they will find themselves scrambling for wafers. Worse, they will miss out on the next boom cycle.

Related Stories

Silicon Wafers: Tight Supply, High Prices

 

Fab Equipment Challenges For 2019



Leave a Reply


(Note: This name will be displayed publicly)