Demand, Lead Times Soar For 300mm Equipment

Capacity demand at the leading edge is creating a supply shortage for key manufacturing equipment.

popularity

A surge in demand for various chips is causing select shortages and extended lead times for many types of 300mm semiconductor equipment, photomask tools, wafers, and other products.

For the last several years, 200mm equipment has been in short supply in the market, but issues are now cropping up throughout the 300mm supply chain, as well. Traditionally, lead times have been three to six months for 300mm equipment, and longer for select systems. Today, though, it could take a year or more to procure extreme ultraviolet (EUV) lithography scanners. Deposition, etch, and other systems also have long lead times.

Fab equipment incorporates a multitude of components and sub-systems, and in some cases the suppliers of those products are struggling to obtain enough parts. To make matters worse, equipment vendors have encountered delays installing the equipment in the fab due to Covid-related travel restrictions.

Long lead times for 300mm equipment affect more than just a particular piece of equipment. Fabs require certain numbers of different types of equipment to process chips. Without the necessary equipment, they may miss their IC delivery schedules to customers. Many chipmakers planned ahead, bought enough tools, and are meeting their shipment schedules. Others are behind the curve.

Equipment shortages aren’t new. Over the last six years, growing demand for analog, RF, and related chips have created a shortfall of older 200mm fab capacity and equipment. During the same period, the 300mm equipment market was robust, although the demand picture followed traditional patterns. Now, 300mm fab capacity is tight, with extended tool lead times.

The current boom cycle caught many off guard. In early 2020, demand for chips fell amid the Covid-19 pandemic. But by mid-2020, the IC market roared back, as the stay-at-home economy drove demand for computers and TVs. This, in turn, fueled chip demand, and suddenly many fab tool vendors saw a spike in orders.

The momentum carried over into the first part of 2021. Chip demand is now picking up in automotive and smartphones. In fact, carmakers can’t obtain enough chips to meet demand. As a result of this demand, Intel, Samsung, TSMC, UMC, and others are expanding their fab capacities, fueling the demand for more wafer fab equipment (WFE). In total, the WFE market is expected to reach between $75 billion and $80 billion in 2021, compared with $61 billion in 2020, according to Evercore ISI.

“If I go back to our recent update on equipment, we are calling this the golden age for semi equipment,” said CJ Muse, senior managing director at Evercore ISI. “Memory is clearly recovering, but the meaningful uplift over the last two to three years in WFE has been led by foundry/logic, and at both the leading- and lagging-edge. We’ve also seen rising silicon and WFE intensity, coupled with Covid-related digitalization, across nearly every industry vertical. It’s a confluence of all of those drivers, as well as geopolitics entering the mix. We’re seeing the desire for localized manufacturing in U.S. and Europe, all of which is driving a higher level of WFE than what people thought was possible just 12 to 24 months ago.”

To help the industry gain some insights here, Semiconductor Engineering has been examining issues throughout the 300mm supply chain, including photomasks, wafers, lithography, deposition/etch, and process control.

Mask/wafer issues
Today, many chipmakers own and operate a multitude of 200mm and 300mm fabs worldwide. 200mm fabs are used to manufacture chips based on mature processes, ranging from 350nm to 90nm.

Today’s state-of-the-art fabs are 300mm facilities, which are used to process the most advanced chips at 7nm and 5nm. These fabs are also manufacturing devices at mature nodes from 65nm to 28nm.

200mm capacity is tight, and the same is true for 300mm. “We have seen 300mm capacity over the last 18 months become extremely tight,” said Walter Ng, vice president of business development at UMC. “We see a few key industry trends fueling a lot of the demand. The transition to 5G was initiated with demand related to infrastructure build-out, followed today by the rollout of 5G-enabled smartphones. Then, there is the demand due to the rapid growth and adoption of hybrid and electric vehicles. The pandemic drove additional demand, specifically due to the school-from-home and work-from-home demand in PC, Chromebook, and tablet applications.”

Demand for automotive and other chips is compounding the fab capacity situation. “Starting in Q4 2020, we have seen a rapid recovery of demand across all market segments from the Covid-19 induced slowdown earlier in 2020,” said Thomas Hartung, vice president of sales and corporate marketing at X-Fab. “This trend is continuing into 2021. This is coming from all market segments we serve, but being dominated by the automotive and mobile communication sector. As a consequence, the utilization of all our manufacturing sites is rapidly increasing and has reached allocation levels, as the demand from customers is exceeding capacity.”

All of this impacts the IC supply chain, especially for 300mm. Chip manufacturing is a complex process, which starts with two critical components — photomasks and silicon wafers. Both are manufactured separately within different ecosystems. Today, there are supply chain bottlenecks in both areas.

In a basic photomask flow, an IC vendor designs a chip using an assortment of EDA software tools. Then, the chip design is translated into a file format, which is shipped to a photomask vendor. In a photomask facility, that file is transformed into a mask using various equipment. The photomask is a master template for an IC design. Measuring 6 x 6 inches, the mask consists of the patterns of a given IC design.

Photomask vendors manufacture two types of masks — optical and EUV. Used in the industry for years, optical-oriented photomasks consist of an opaque layer of chrome on a glass substrate.

EUV masks are different and are used in conjunction with EUV lithography scanners, which can pattern tiny features at 7nm and below. An EUV mask consists of 40 to 50 thin alternating layers of silicon and molybdenum on a substrate.

Making EUV masks is complex. It requires an assortment of expensive equipment. Leading-edge chipmakers, which have their own photomask operations, manufacture EUV masks. It’s a short list that includes Intel, Samsung, and TSMC.

Fig. 1: EUV mask fabrication steps. Source: Sematech

Fig. 1: EUV mask fabrication steps. Source: Sematech

The EUV mask process flow starts with a mask blank or substrate. Then, the blank is patterned using a system called a multi-beam mask writer. “There are two reasons why multi-beam mask writers are required for EUV,” said Aki Fujimura, chief executive of D2S. “One is pattern complexity. Then, on a multi-beam machine, the write time is independent of shape count.”

Intel’s IMS Nanofabrication unit sells multi-beam mask writers, while NuFlare is developing one. Demand is robust for IMS’ tool, as lead times are 12 to 18 months, according to sources in the equipment industry. “The mask equipment market, including the mask-writer market is booming wildly with the tool demand almost doubling,” said Elmar Platzgummer, CEO of IMS.

Meanwhile, during the flow, EUV masks are inspected for defects using various inspection equipment. One vendor, Lasertec, has developed an actinic patterned mask inspection system, which uses the same 13.5nm wavelength as an EUV scanner. Actinic inspection is used to find the most problematic defects in EUV masks.

The lead times for Lasertec’s actinic system are 12 to 18 months, sources said. In comparison, the lead times for Lasertec’s optical inspection systems are three to six months. Lasertec declined to comment.

Besides photomasks, silicon wafers are also critical components used to manufacture chips. In a separate part of the supply chain, silicon wafer vendors produce bare wafers at various sizes, such as 200mm, 300mm, and others.

Shin-Etsu, Sumco, GlobalWafers, Siltronic, SK Siltron, Soitec, and others make and sell wafers. (GlobalWafers is in the process of buying Siltronic.)

Demand currently is strong for epitaxial wafers, which are used for logic chips. Meanwhile, polished wafers, which are used in memory, are still plentiful for now. “The supply of 300mm epi wafers is very tight and is heading toward a shortage situation as demand outpaces supply,” said Clark Tseng, an analyst at SEMI. “Polished wafers are not in shortage — yet. However, as demand for memory (DRAM and NAND) starts increasing for the next few quarters, the supply/demand will tilt the balance towards shortages.”

EUV shortfall
Once the silicon wafers are produced, they are shipped to chipmakers, which then process those wafers into chips in a wafer fab. Photomask makers also ship finished masks to the fab.

Manufacturing chips in a fab is complex. For example, to make an advanced logic device, the wafer undergoes anywhere from 600 to 1,000 process steps, or more, in the fab using various equipment. The starting price for a new 300mm fab is $10 billion or more, and a large percentage of the cost is for the equipment.

In a theoretical fab with 50,000 wafer starts per month, a plant may require the following equipment, according to UC Berkeley:

  • 50 scanners/steppers plus wafer tracks
  • 10 high-current and 8 medium-current ion implanters
  • 40 etch machines
  • 30 CVD tools

Other equipment also is required. Each chip type follows a different process flow. Generally, to make chips, the first step is to deposit a layer of silicon dioxide on a silicon wafer, followed by a nitride layer.

Fig. 2: Flow chart of the wafer fabrication process flow Source: Source: TU Wien/Institute for Microelectronics

Fig. 2: Flow chart of the wafer fabrication process flow Source: Source: TU Wien/Institute for Microelectronics

Wafers then are inserted into a system called a coater/developer. In this system, a photoresist, a light-sensitive material, is poured onto a wafer. The wafer is spun at high rates, causing the resist to cover the wafer.

The exposure step is next. The wafer and photomask are placed in a lithography scanner. The scanner then projects light through the mask onto the wafer, creating patterns on the wafer based on a given design.

For years, chipmakers used optical-based lithography systems to pattern the features on chips. Today’s most advanced optical scanners use 193nm wavelengths to pattern the smallest features on chips.

Using multiple patterning, chipmakers extended 193nm immersion lithography down to 7nm. But at today’s 5nm process node, it’s too complex to use these techniques. That’s where EUV fits in. EUV simplifies the process, enabling chipmakers to pattern the most difficult features at 7nm and beyond.

Samsung and TSMC separately inserted EUV lithography at the 7nm node in 2018. Now, both vendors are processing chips using EUV at 5nm. Intel plans to insert EUV at 7nm. Samsung, SK Hynix, and others are inserting EUV for DRAM production.

Chipmakers are in production using ASML’s latest EUV scanner, the NXE:3400C. Incorporating a 0.33 numerical aperture lens, the system has 13nm resolutions with a throughput from 135 to 145 wafers per hour (wph). Later this year, ASML plans to ship an upgraded version of the NXE:3400C. This system, called the NXE:3600D, has a throughput of 160 wph.

ASML plans to ship 40 EUV systems in 2021 and 55 more units in 2022. “We are seeing a significant increase in demand across all market segments and our product portfolio,” said Peter Wennink, president and CEO of ASML.

But demand for EUV scanners is outstripping supply. “I would say they have a clear line of sight to demand into 2023 for EUV,” Evercore ISI’s Muse said. “There is demand for 70-plus EUV tools in 2022. ASML told us that they will try to get capacity for 55, and so that’s an issue.”

An EUV system is complex with thousands of different components from various vendors. Developed by Zeiss, the lens is perhaps the most complicated sub-system in the EUV tool. “The gating item is the optics from Carl Zeiss,” Muse said. “The lead times there are long. And the lead times to build the machinery to build the lenses is even longer.”

As a result, the lead times to obtain EUV scanners are long. “EUV is 12 to 15 months,” Muse said. “Immersion is six to nine months. Non-immersion DUV or i-line is probably six months. For immersion, if you came to them today, you’re not getting a tool until the first half of 2022.”

Deposition/etch demand
Meanwhile, after the exposure step, the wafer undergoes various etch and deposition steps. Deposition deposits materials on the wafer, while etch removes them.

Demand is strong for deposition, etch, and other fab equipment. “Several factors are at play in driving this robust WFE growth,” said Tim Archer, president and CEO at Lam Research, in a recent conference call. “First, secular tailwinds such as AI, 5G, and IoT continue to strengthen. Second, the complexity of manufacturing advanced semiconductor devices continues to increase at a rapid rate, leading to a rise in equipment capacity across all segments.”

In total, TEL sees WFE growing by 30% in 2021. “Although investment in logic/foundry is substantial, the main driver of the WFE growth is DRAM in calendar 2021. Although some chipmakers introduce EUV into DRAM manufacturing, the number of layers is likely limited. So etch and deposition will grow in line with the WFE of DRAM,” said Ben Rathsack, vice president and deputy general manager at TEL America.

“On the other hand, logic/foundry players are adopting more EUV in the cutting-edge nodes. Etch and deposition may not grow as fast as WFE of logic/foundry in the very leading-edge technology,” Rathsack said. “However, there is also strong demand in more mature nodes, which don’t require EUV at all. So etch and deposition demand will stay strong in logic/foundry. In the case of NAND, its investment in total is not growing as fast as the other applications. However, etch and deposition investments are growing fast, because the scaling of 3D NAND is driven by stacking instead of miniaturization. In conclusion, the demand for etch and deposition is growing in memories, and stays strong in logic/foundry.”

Nonetheless, deposition and etch tool lead times generally are increasing. “The normalized lead time for etch and deposition would be three to six months. I would suggest that we’re probably in the six plus months territory now,” Evercore ISI’s Muse said. “If you want meaningful capacity related to a purchase order, you’re not getting that equipment until Q1 2022. These comments are more broad-based, not for a specific system.”

Not all etch and deposition systems are alike, either. In many apps, chipmakers use chemical vapor deposition (CVD) systems to deposit materials on chips. Certain steps require a different system called an atomic layer deposition (ALD) tool, which deposits materials one layer at a time.

There are also different types of etch tools. The mainstream etch tool type is called a reactive-ion etch (RIE) system. This system removes materials on a continuous basis. Chipmakers also use an atomic layer etch (ALE) system, which selectively removes materials at the atomic level.

Etch suppliers are expanding their portfolios. Recently, TEL rolled out a next-generation etch platform. The system, called Episode UL, can accommodate between 4 and 12 chambers. The number of chambers and the configuration depends on the process.

The chambers are arranged in two horizontally opposed rows, rather than a cluster design. This provides a reduction in footprint per chamber for both cleanroom and utility areas.

“Our manufacturing lead times of etch and deposition are about three months from receiving orders to shipping tools. Actual assembly time is much shorter,” TEL’s Rathsack said.

To meet demand for its deposition, etch, and other products, TEL is ramping up production in two new manufacturing plants, which are located in Tohoku and Yamanashi. “We ramped up two fabs in CY2020. Both of them have already begun to contribute to our manufacturing. With these two new fabs, we could increase our manufacturing capacity two times in Tohoku and 1.5 times in Yamanashi. We manufacture batch deposition in Tohoku and do single wafer deposition, chemical dry etch, wafer prober, and FPD dry etcher in Yamanashi,” Rathsack said.

Meanwhile, Lam Research recently released a new chamber for its Sense.i etch platform. The chamber, called Vantex, enables the use of higher than previously available RF power levels to etch high-aspect ratio features at high throughputs.

Lam also is expanding. Last year, it announced plans to build a new production plant in Penang, Malaysia.

Process control issues
Inspection and metrology are also critical in the fab process flow. Inspection systems are designed to locate tiny defects in chips. Metrology tools are used to measure structures. Chipmakers use a number of different metrology tools, such as CD-SEMs, optical, and X-ray systems.

Both inspection and metrology tools are in high demand. In one example, KLA’s tool lead times are usually six months or so, but these numbers are changing amid growing demand. “They’re adding capacity in the back half of the year to meet demand. They try to manage their business at six months, and their lead times now are seven to eight months,” Evercore ISI’s Muse said.

KLA is seeing a sharp increase in tool demand. “Our customers are increasing their strategic CapEx investment to address these growth markets, while continuing investment in leading-edge R&D efforts,” said Rick Wallace, CEO of KLA, in a recent conference call.

Onto Innovation, a supplier of metrology and inspection systems, is seeing similar trends, and says it is keeping up with demand. “We build to forecast in order to keep lead times consistent,” said Mike Sheaffer, senior director at Onto. “That means we create forecasts for our suppliers of long-lead time components so that we are able to meet growing demand. We are not waiting for a purchase order from our customers to begin building systems for their ramps. As we have become a larger and more strategic supplier to our customers, we are able to create volume purchase agreements/forecasts with our largest customers that allow us to begin building systems for their required installation dates.”

Others see similar trends. “Generally speaking, we are seeing increased demand across all sectors, driven by general industry capacity shortages in automotive and other markets,” said Paul Ryan, vice president and general manager of the X-ray Business Unit at Bruker, a supplier of metrology systems. “Lead-times are slightly longer than normal, but we are mitigating this with increased manufacturing capacity and advanced purchasing of long-lead items.”

Conclusion
There is no sign that demand is slowing down for chips in both 200mm and 300mm fabs. That said, the dynamics could change overnight.

In the meantime, chipmakers need to plan ahead. So do their customers. Neither can’t afford to lose any business.

Related stories
200mm Demand Surges
Despite slowdown in some areas, shortages will continue into 2021 due to lack of equipment.

More Silicon Wafer Consolidation
GlobalWafers to buy rival Siltronic amid booming wafer demand.

The Quest For Curvilinear Photomasks
Why this technology is vital for chip scaling, and what problems still need to be resolved.

EUV Challenges And Unknowns At 3nm And Below
Rising costs, complexity, and fuzzy delivery schedules are casting a cloud over next-gen lithography.

Metrology Challenges For Gate-All-Around
Why future nodes will require new equipment and approaches.

Finding Defects With E-Beam Inspection
New tools utilize different approaches, including ML, to boost performance.



Leave a Reply


(Note: This name will be displayed publicly)