Knowledge Center
Navigation
Knowledge Center

Atomic Layer Deposition (ALD)

A method of depositing materials and films in exact places on a surface.
popularity

Description

Atomic layer deposition, or ALD, is a manufacturing approach that deposits materials and films in exact places. This can include metals on top of metals, dielectrics on dielectrics, or any other combination. The goal is to reduce or replace the number of patterning steps in the chip or device fabrication process.

A type of chemical vapor deposition (CVD), ALD splits the deposition process into half-reactions, each of which can be well-controlled.

ALD has been around since the 1970s. But it entered the limelight at 45nm, when Intel used ALD to deposit a high-k material called hafnium for the gate stack in a transistor. Ultimately, high-k replaced silicon dioxide, which was then running out of steam. This, in turn, enabled chipmakers to scale their devices, thereby keeping the industry on Moore’s Law.

Basically, there are two types of ALD—thermal and plasma enhanced. Thermal ALD involves a binary process with two reactants—A and B. The first reactant, A, is pumped into the ALD chamber. The wafer is processed and then the chemistries are purged. Then, the second reactant, B, undergoes the same step.

In plasma-enhanced ALD, the reactions are plasma-based, a method used by low-temperature applications.

There are several configurations for ALD tools: furnace/batch, single-wafer, and spatial-based:

  • Furnace/batch systems handle a multitude of wafers and enable thicker films. Used by DRAM makers, batch systems are relatively fast, but there is a trade-off in terms of uniformities.
  • Single-wafer ALD tools are used in applications, where the uniformity specs are thinner and tighter. Single-wafer, which is used to deposit high-k dielectrics in logic, are also time-based systems. In time-based tools, the reactions take place in a chamber for a set or given time.
  • Spatial ALD tools are mini-batch systems. A number of wafers are placed in the system. The wafers travel to various zones. At each zone, a reactant is pumped into the chamber. The wafer is processed and is then moved to the next zone.

Generally, there is no superior tool type. Batch, single-wafer and spatial tools are geared for specific applications, and each tool type has its advantages and disadvantages.

In one possible futuristic flow, a tool selectivity deposits a self-assembled monolayer chemistry on a surface. This, in turn, forms a tiny mask or template on the surface at or near perfect alignment.

There also is a direct-write version of ALD, which uses e-beam and multi-beam tools to pattern the surface from the ground up.

ALD has a range of applications, including high-k, DRAM, 3D NAND, multi-patterning, and fin doping.