Knowledge Center
Navigation
Knowledge Center

Universal Chiplet Interconnect Express (UCIe)

Die-to-die interconnect specification.
popularity

Description

Universal Chiplet Interconnect Express, or UCIe, is a specification that defines the interconnect between chiplets within a package. Leveraging the PCI Express (PCIe) and Compute Express Link (CXL) standards, UCIe covers the die-to-die I/O physical layer, die-to-die protocols, software stack, and compliance testing. It aims to enable end users to easily mix and match chiplet components from a multi-vendor ecosystem for construction of SoCs that exceed maximum reticle size.

The specification is available from the UCIe website.

Multimedia

Integration Challenges For RISC-V Designs

Multimedia

HBM3 In The Data Center