Knowledge Center
Navigation
Knowledge Center

IEEE 1850-Property Specification Language (PSL)

Verification language based on formal specification of behavior
popularity

Description

PSL is a formal notation for specification of electronic system behavior, compatible with multiple electronic system design languages, including IEEE Std 1076 (VHDL), IEEE Std 1354 (Verilog), IEEE Std 1666 (SystemC), and IEEE Std 1800 (SystemVerilog), thereby enabling a common specification and verification flow for multi-language and mixed-language designs.
PSL captures design intent in a form suitable for simulation, formal verification, formal analysis, and hybrid verification tools. PSL enhances communication among architects, designers, and verification engineers to increase productivity throughout the design and verification process. The primary audiences for this standard are the implementers of tools supporting the language and advanced users of the language.

Books
A Practical Introduction to PSL (Integrated Circuits and Systems)