Knowledge Center
Navigation
Knowledge Center

Network on chip (NOC)

An in-chip network, often in a SoC, that connects IP blocks and components and routes data packets among them.
popularity

Description

A network on chip (NoC) is an in-chip network, often in a SoC, that connects IP blocks and components and routes data packets among them using switches. The concept developed in the 1990s as a way to efficiently connect multicore designs. Often the NoC provides cache coherency among different components accessing memory.

A NoC (pronounced ‘knock’) enables data to move between heterogeneous computing elements, while at the same time minimizing the resources required to connect them. Tradeoffs can be made about the topology of a NoC, the resources consumed, and the latency associated with traffic for a defined bandwidth. A NoC also can help keeping data coherent between distributed computing elements.

NoC topologies include mesh, torus, tree, or custom designs.

The NoC has become an essential piece of technology that enables the complexity of chips to keep growing, but when designs go 3D, or when third-party chiplets become pervasive, it’s not clear how NoCs will evolve or what the impact will be on chiplet architectures.

In many of these devices, the network on chip is the glue between various components, but it can take up to 10% to 12% of the total area of the SoC.

Fig. 1: Simple network-on-chip interconnect architecture. Source: Arteris

Multimedia

Cache Coherency In Heterogeneous Systems

Multimedia

Physically Aware NoCs


Related Entities