Knowledge Center
Navigation
Knowledge Center

RTL (Register Transfer Level )

An abstraction for defining the digital portions of a design
popularity

Description

Register transfer level (RTL) is an abstraction for defining the digital portions of a design. It is the principle abstraction used for defining electronic systems today and often serves as the golden model in the design and verification flow. The RTL design is usually captured using a hardware description language (HDL) such as Verilog or VHDL. While these languages are capable of defining systems at other levels of abstraction, it is generally the RTL semantics of these languages, and indeed a subset of these languages defined as the synthesizable subset. This means the language constructs that can be reliably fed into a logic synthesis tool that in turn creates the gate-level abstraction of the design that is used for all downstream implementation operations.

RTL is based on synchronous logic and contains three primary pieces namely, registers which hold state information, combinatorial logic which defines the nest state inputs, and clocks that control when the state changes.

Recommended reading:
Digital Design with RTL Design, VHDL, and Verilog


Multimedia

RTL Restructuring Issues

Multimedia

Better Quality RTL

Multimedia

Timing Closure At 7/5nm

Multimedia

Building A Safety Verification Flow

Multimedia

Signoff-Compatible CDC

Multimedia

UPF-Aware Clock-Domain Crossing

Multimedia

Tech Talk: FPGA RTL Checking


Related Technologies