Knowledge Center
Navigation
Knowledge Center

Shmooing, Shmoo test, Shmoo plot

Sweeping a test condition parameter through a range and obtaining a plot of the results.
popularity

Description

In semiconductor testing, shmooing is the testing technique of sweeping a test condition parameter through a range to look at the device under test in operation as it would perform in the real world.

The type of parameters tested depend on the purpose and type of the IC and the circumstances. At least two parameters are plotted. (The term shmoo in semiconductor testing may have been inspired by Al Capp’s comic strip character, the Shmoo, a pear-shaped fictional creature. The plots don’t often look like the Shmoo, but the term has persisted.) Three parameters that might be plotted are frequency, voltage, and temperature. Memory chips are often tested more using shmoos.

Shmoo tests are run on automated test equipment (ATE) and the data from shmoo tests/shmooing is visually presented in a shmoo plot. Shmoo plots may be run at any time in design and pre-to-post production.

Looking at the shmoo plots:

  • Pre production: “When IC’s are produced in high volume, it is economically beneficial, and thus they must be validated beforehand. Shmoo can prove to be a promising way to optimize design validation. For example, you are facing hold time violations, in that case by looking at the ATE logs we can’t predict could be the issue of failures, but by looking at the Shmoo plots we can definitely find the issue. Thus the experience gained from Shmooing can be utilized to optimize the process, design and final test program.” 1
  • Post production: Another use of the shmoo plot is to examine chips after they have failed in the field. One technique is to shmoo the ICs in returned products to understand what failed. For instance, shmooing customer returns over temperature, voltage, and clock frequency with the ATE test content will tell the engineers if there are any failure patterns at specific points in the shmoo.

 

 

References

  1. Pal, Esha, eInfochips, “Understanding Shmoo Plots and Various Terminology of Testers”.