New Challenges Emerge With High-NA EUV


High numerical aperture EUV exposure systems are coming — as soon as 2025 by some estimates. Though certainly a less profound change than the introduction of extreme ultraviolet lithography, high-NA lithography still brings a new set of challenges for photoresists and related materials. With a higher numerical aperture, photons strike the wafer at a shallower angle. That requires thinner p... » read more

More Accurate And Detailed Analysis of Semiconductor Defects In SEM Images Using SEMI-PointRend


A technical paper titled "SEMI-PointRend: Improved Semiconductor Wafer Defect Classification and Segmentation as Rendering" was published (preprint) by researchers at imec, University of Ulsan, and KU Leuven. Abstract: "In this study, we applied the PointRend (Point-based Rendering) method to semiconductor defect segmentation. PointRend is an iterative segmentation algorithm inspired by ima... » read more

Suppressing Stochastic Interaction To Improve EUV Lithography


Authors Zhimin Zhu Sr., Joyce Lowes, Shawn Ye, Zhiqiang Fan, and Tim Limmer of Brewer Science, Inc. (United States) used Stochastic Area Thickness (SAT) and Dynamic Stochastic Area Thickness (DSAT) to evaluate the stochastic interactions. High optical foot exposure is proposed instead of conventional low substrate reflectivity to reduce SAT. Adhesion control by acid/quencher loading is proposed... » read more

Underlayer Optimization Method For EUV Lithography


Photoresist and underlayer combine to serve a central role in EUVL for patterning. Layers will be very thin in future, because high numerical aperture (NA) and tight pitches will require very thin layers in the lithography stack. This thinness will make chemical interactions at the photoresist-underlayer interface more common. Adhesion between these layers will be critical to overcome pattern c... » read more

Defect Detection Strategies and Process Partitioning for SE EUV Patterning


ABSTRACT The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process ... » read more