Applied-TEL Watch


By Mark LaPedus So far this year, the biggest story in the fab tool industry is fairly obvious—Applied Materials recently signed a definitive agreement to acquire rival Tokyo Electron Ltd. (TEL) for about $9.3 billion. The blockbuster announcement will likely be the top story of 2013. Of course, the integration of Applied and TEL will be a challenge. In any case, the Applied-TEL deal is i... » read more

Inside Japan: The Applied Materials-Tokyo Electron Merger


The merger of Tokyo Electron and Applied Materials has turned heads around the globe, but behind the scenes in Japan there was a recognition that this deal had to be done now or it would never be possible. Releases from both companies describe it as a merger of equals, and the Japanese press has reported it that way. But international media outside of Japan take the view that Tokyo Electron ... » read more

The Week In Review: Oct. 11


By Mark LaPedus & Ed Sperling Demand is running high for DRAMs, thanks to last month’s fab fire at Hynix’ China plant. “The impact from Hynix' fab fire seems to be far more extensive than we had originally thought. We now think the factory is most likely up at the earliest by May/June 2014, which certainly provides robust pricing support for DRAM. Hynix is in the process of convertin... » read more

The Week In Review: Oct. 4


By Mark LaPedus & Ed Sperling eSilicon introduced an automated multi-project wafer quote system, which allows companies to sort through a number of options and get pricing. The quotes are tied into TSMC's 20nm to 350nm processes, and GlobalFoundries’ 20nm to 180nm processes. The approach eliminates the need for companies to buy a full wafer if their volume requirements don’t warrant it... » read more

The Week In Review: Sept. 30


In a deal that could shake-up the fab tool landscape, Applied Materials has announced a definitive agreement to acquire rival Tokyo Electron Ltd. (TEL) in a stock deal valued at around $9.3 billion. The Fraunhofer Institute for Solar Energy Systems ISE, Soitec, CEA-Leti and the Helmholtz Center Berlin jointly announced having achieved a new world record for the conversion of sunlight into e... » read more

The Week In Review: Sept. 27


By Ed Sperling Applied Materials shook up the equipment market, announcing a deal to buy Tokyo Electron for about $9.3 billion in stock. The combination of No. 2 Applied and No. 3 TEL in that market equals a new No. 1, surpassing Dutch giant ASML in terms of revenue. Mentor Graphics rolled out a new versiion of its computational fluid dynamics product, adding Monte Carlo radiation modeling... » read more

Applied To Buy TEL


In a deal that could shake-up the fab tool landscape, Applied Materials has announced a definitive agreement to acquire rival Tokyo Electron Ltd. (TEL) in a stock deal valued at around $9.3 billion. Under the terms of the blockbuster deal, Applied Materials will own approximately 68% of the new company and TEL will own about 32%.  The combined entities will have a new name, dual headquarter... » read more

Inside Leti’s Litho Lab


By Mark LaPedus Semiconductor Manufacturing & Design sat down to discuss future lithography challenges with Serge Tedesco, lithography program manager at CEA-Leti; Laurent Pain, lithography lab manager at CEA-Leti; and Raluca Tiron, a senior scientist at CEA-Leti. SMD: CEA-Leti has two major and separate programs, including one in directed self-assembly (DSA) and another in multi-beam ... » read more

The Bumpy Road To 450mm


By Mark LaPedus After its formation nearly 20 months ago, a 450mm consortium has reached its latest milestone by recently completing a cleanroom and installing the first 450mm demonstration tools in the facility. The so-called Global 450 Consortium (G450C) also has set a goal to bring 450mm fabs into high-volume manufacturing at the 10nm or 7nm nodes by 2018. That gives the industry a littl... » read more

Directed Self-Assembly Grows Up


By Mark LaPedus At last year’s SPIE Advanced Lithography conference, Christopher Bencher, a member of the technical staff at Applied Materials, said the buzz surrounding directed self-assembly (DSA) technology resembled the fervor generated at the famous Woodstock rock concert in 1969. This was clearly evident from the tumultuous and free-flowing movement that threatened the status quo o... » read more

← Older posts Newer posts →