Home
TECHNICAL PAPERS

TAP-2.5D: A Thermally-Aware Chiplet Placement Methodology for 2.5D Systems

TAP-2.5D, an inter-chiplet physical network design methodology for heterogeneous 2.5D systems.

popularity

Abstract
“Heterogeneous systems are commonly used today to sustain the historic benefits we have achieved through technology scaling. 2.5D integration technology provides a cost-effective solution for designing heterogeneous systems. The traditional physical design of a 2.5D heterogeneous system closely packs the chiplets to minimize wirelength, but this leads to a thermally-inefficient design. We propose TAP-2.5D: the first open-source network routing and thermally-aware chiplet placement methodology for heterogeneous 2.5D systems. TAP-2.5D strategically inserts spacing between chiplets to jointly minimize the temperature and total wirelength, and in turn, increases the thermal design power envelope of the overall system. We present three case studies demonstrating the usage and efficacy of TAP-2.5D.”

Find the technical paper link here or here for IEEE.

Ma, Yenai & Delshadtehrani, Leila & Demirkiran, Cansu & Abellán, José L. & Joshi, Ajay. (2021). TAP-2.5D: A Thermally-Aware Chiplet Placement Methodology for 2.5D Systems. 10.23919/DATE51398.2021.9474011.



Leave a Reply


(Note: This name will be displayed publicly)