The Benefits Of Curvilinear Shapes On Photomasks

Using curvilinear shapes to increase process windows for advanced memory.

popularity

Do you have four minutes to hear why companies like Micron Technology think that curvilinear shapes on photomasks are an advantage? In a short video, Ezequiel Russell, Senior Director of Mask Technology at Micron Technology shows how curvilinear shapes can increase process windows for advanced memory as shown in figure 1. The video was part of a longer panel discussion with industry experts at the eBeam Initiative’s annual event during the SPIE Advanced Lithography Conference in February 2021. In this example, an 85% improvement in depth of focus (DOF) was achieved. To hear a full description in Ezequiel’s own words, you can watch the 4-minute video from the virtual event here.


Fig. 1: Micron Technology example of the benefits of curvilinear mask shapes for advanced memory shown at the eBeam Initiative virtual event during the 2021 SPIE Advanced Lithography Conference.

Every year, the eBeam Initiative conducts surveys that provide valuable insight into the key trends that are shaping the semiconductor industry. Last July, industry luminaries representing 42 companies from across the semiconductor ecosystem participated in the 2020 eBeam Initiative Luminaries survey. An overwhelming majority of the survey respondents think that curvilinear shapes will be used on masks by 2023, at least partially, as shown in figure 2. It is striking because not that many curvilinear shapes are used on photomasks today. That is a huge change projected by the luminaries in a few short years.


Fig. 2: Panelists at an eBeam Initiative virtual event during the 2021 SPIE Advanced Lithography conference discuss the 2020 Luminaries Survey result about curvilinear shapes.

Over the next few months in this blog, we will hear from experts at TSMC, NuFlare Technology, and D2S in addition to Micron Technology as we explore questions about the challenges of curvilinear shapes on photomasks, how the industry is working on solutions such as curvilinear data formats, and whether EUV masks will use curvilinear shapes. Our final blog will look at the potential to change not only manufacturing but also the design of semiconductor chips using curvilinear shapes.  If you can’t wait, you can watch the full 90-minute panel event here.



Leave a Reply


(Note: This name will be displayed publicly)