Automotive Relationships Shifting With Chiplets

As the automotive ecosystem balances the best approaches for designing in increasingly advanced features, how companies interact is still evolving.

popularity

The automotive industry is in the midst of a tremendous and rapid change on many fronts. OEMs are exploring new functions and features to add to their vehicles, including chiplets, electrification, autonomous features, as well as new vehicle architectures that will determine how vehicles are going to be designed from the foundation up. All of this is dependent on the relationships between all of the ecosystem players involved. What follows are excerpts from a panel recently held at the AutoSens Conference in Detroit, which included David Fritz, vice president of hybrid and virtual systems at Siemens EDA; Kamal Khouri, vice president and general manager for automotive at GlobalFoundries; and Frank Schirrmeister, vice president of solutions and business development at Arteris IP. Part two is here. Part three is here.

SE: The impact of chiplets is being discussed regularly these days. What does this mean for the relationships between ecosystem players today?

Fritz: Think of chiplets as individual die without the packaging, and the ability to hook up multiple chiplets through a new communication protocol created by Intel called UCIe, which is a lot like PCIe. The idea is that instead of building a huge monolithic chip, you could go to Qualcomm or Samsung or NXP, get individual chiplets, then put them together. That’s how you would create a solution that’s much more optimized to the domain. Imec has created a chiplets consortium — which we have joined along with a lot of the companies we’ve been working with for quite some time — whereby the question is what should the chiplets actually do? What is the functionality? How do you put realistic workloads on those? How do you do power and performance analysis, and all those sorts of things? We’ve been working with a lot of the companies that are in the imec consortium to help them decide those things, and imec is doing a great job of pulling it all together and trying to standardize on that, and get the focus that it actually deserves.

Khouri: Chiplets are a testament to the fact that Moore’s Law doesn’t work across all applications. And as we’ve seen, you need specialized technology for the diversity of solutions we’re trying to address. Single-digit nanometer, for example, may be great for AI, great for high performance compute, but not so good for driving a pump, or motor for your seat controller in the car. And it’s not so good for your radar. But because you want to bring all those compute and sensing components as close to each other as possible, optimize that solution, optimize the power consumption, this is a great testament to the types of technologies we need to address and the diversity in the problems that we’re trying to solve.

Schirrmeister: There are a lot of challenges. If you look at the ecosystem together, it’s a great opportunity. I fully agree with all that. It makes life very communicative all the way from the OEM through the Tier 1s, through the Tier 2s, as well as on the IP side, then on the tool-enabling side, to getting the technology it needs to make it work. To pick an example, the OEM will decide to use certain chips together. They need to talk to each other. UCIe is the start of the equation. That’s the streaming interface, and it is absolutely essential. Above that, you have a whole protocol stack. The OEM will decide that certain components need to talk to each other and will ask what protocol to use. For IP suppliers that support most of the protocols, it’s great. There are interfaces like CHI from part of AMBA, and there are other slightly competing bits. There’s UCIe. There’s also Bunch of Wires, and so forth. There are other mechanisms out there to connect potentially, so the OEM will make that decision. Then everybody in the design chain needs to fall in line and make sure those things fit together. Then the tools will be able to analyze it all, how it fits together, and the IP suppliers will provide the underlying building blocks, which are then implemented by the foundry.

Fritz: All of that is absolutely true, but what does it really mean? We’re all engineers and former engineers, so it’s too easy to dive down into details. Let’s pull ourselves out of that for a minute. I made a statement about six years ago, that in about five years, virtually every OEM on the planet that decides they want to be successful beyond this transition in the industry is going to be at least looking into building their own SoCs. It wasn’t very well received then, because I was wrong that most of them were looking ago at it five years ago. They’ve come further along, but the learning was that the amount of expertise, the skills, the knowledge, the cost of doing a very large monolithic SoC really makes it extremely difficult to do. That means if you have the ability to take these die, these chiplets that already exist, and all you have to do is package them up differently and have your own pin-out, then you can have something that is tuned to your needs and you don’t have to invest $100 million dollars — or more like a half-billion dollars — to do some of these chips. Now the question is who’s going to produce the chiplets. We’re seeing companies like Qualcomm and Samsung and Intel, and even the Tier 2 NXPs, Infineons — they’re thinking about this. IP providers [Arm, Arteris IP, Synopsys, and others] are a part of this, as well. If you have the ability to scale that down and say, ‘This is specifically what we know that we need, and we’ve got KPIs from our customers,’ I can build just that chiplet. I only have to validate that chiplet. If you have multiple companies building these chiplets, and then all you have to do as an OEM or a Tier 1 is put them together and decide what the pin-out is, then you have an ideal solution — or as close to ideal as we can get without investing hundreds of billions of dollars to make it work.

SE: A fundamental part of this is manufacturing. What is the role of the foundry in chiplets, and within the ecosystem to bring everyone together?

Khouri: The individual chiplets are going to get manufactured at some process node, or some process technology, and that’s going to be a key contributor. Then we’re going to start looking at the packaging technology and the concept of design-for-chiplet packaging. What is it that you need to do at the silicon level to enable easier validation and easier bug fixing? Let’s not pretend the problem is solved. This is a great concept. There’s a lot of work to be done, starting with the foundry to the EDA tools, how we validate this, how we debug it on the field. There’s a lot of work to be done, but I think we all agree the concept is good.

Fritz: The work has just started.

Schirrmeister: It’s also the only way out. We’d better figure this out, because as Kamal pointed out, Moore’s Law forces us to do that, because it’s a ‘more than Moore’ kind of thing. There are two reasons for chiplets. When you have existing chiplets that you now want to integrate, and they need to work together with existing chips, let’s not forget the other side of the equation, where the reticle limit forces you to no longer just be more complex. If they could, they probably would. There are still SoCs out there, but let’s not make the mistake that it’s the only way out beyond Moore’s Law. The manufacturing is hugely important. The integration and the tooling are important. What’s also fascinating are timelines. Then, there’s a power dynamic, which must be observed. At a recent McKinsey/GSA event, they were talking about a Tier 0.5 emerging, which is a company in which the OEM and Tier 1 are discussing and trying to figure it out, but then it all trickles down into the tools, the IP, and the foundry underneath for it to be implemented. So there’s a whole new set of communication challenges that we need to work through, but it’s the only way out. We’d better figure it out.

SE: This is also about finding, and generating value. What kind of advice can you give about the value of relationships and generating value?

Khouri: This discussion is triggering memories of a number of years ago when we were sitting debating the next generation of vehicle architecture. People would come up on stage and ask, “Is it centralized? Is it central compute? Is it distributed? Is it zonal? Is it domain control? First, I would urge that we not try to have a solution that’s looking for a problem. Specifically, what are we trying to solve with the chiplet approach that we couldn’t solve otherwise? I think that’s important. It’s cool technology, don’t get me wrong. We’re all engineers and we love to solve things. But I urge caution there. And it brings brings us back to the question about this participation across all domains, even from the OEMs asking what is the problem that we need to solve for you that we cannot solve efficiently with what we have today that’s proven. Understanding that problem statement all the way from the OEM to whoever the Tier 1 may be, to the IP providers, to the EDA tools. That’s critical, and it’s an example of why the open channels of communication need to happen.

Fritz: A bit of a different approach could be useful. I like to say that the whole automotive ecosystem is being turned upside down. By that I mean it used to be that the Tier 2s would talk to their customers and they would design some silicon, and that would go to the Tier 1. The Tier 1 would do some integration, talk to the OEMs, then go sell it to the OEMs. The OEM would say, ‘This is kind of what I want. This one’s the closest. Therefore, that’s the one that I’m going to buy.’ The OEMs have learned that process isn’t working when you get into the big central compute, when you’re getting into the high compute complexity. You’re throwing in perception stacks, you’re throwing all kinds of artificial intelligence into that because the suppliers aren’t necessarily understanding the depth of what it is that you need to actually accomplish. So by upside down I mean, why would the OEMs really care about how big your caches are? Or how many CPU cores? Why don’t they just say, ‘Here’s my KPI,’ because they’ve been doing that for the last 50 years and it’s not working anymore. Now the Tier 1s are feeling squeezed because there are outside companies coming in and talking directly to their customer, and they have no control over that conversation. The Tier 1 across the planet are asking how they stay relevant. They stay relevant by talking to these semiconductor companies that are doing new things, trying to figure out how all that works, and how all that comes together so they can provide something to the OEM. Now, the Tier 1, who I believe are likely to survive well through this transition, are pairing up closely to the OEMs. That is a 0.5, and sometimes these companies are being spun out. One example is Foxconn, which is coming up fast as a 0.5. There are lots of developments that are the result of that coalescing of the need to put things together.

Related Reading:



Leave a Reply


(Note: This name will be displayed publicly)