Deploying Multi-Beam Mask Writers

What Intel’s proposed acquisition of IMS means for photomasks and multi-beam mask writer technology.

popularity

Elmar Platzgummer, chief executive of IMS Nanofabrication, sat down with Semiconductor Engineering to discuss the company’s deal with Intel, photomasks, multi-beam mask writer technology and other topics. What follows are excerpts of that conversation.

SE: This has been a significant year for IMS for two reasons. First, Intel recently announced plans to acquire IMS. Second, at the recent SPIE Photomask Technology Conference, IMS introduced the world’s first multi-beam mask writer for the commercial market. Let’s start with Intel. What does Intel’s acquisition of IMS bring to the party?

Platzgummer: It brought focus to our technology. As you might have observed, I had two roles. In the last years, I was driving the technology. But I was also raising money and sorting out our partnership options. Now, we are settling in with a very strong financing partner in the background. This is very good for us.

SE: It also brought more resources to IMS, right?

Platzgummer: We are more focused now, which is needed. We had the technology, but we needed to complete it, do all of the scaling for it, and then ramp it up. This is so it becomes commercialized. This is a big challenge. So now, I have the option to become more focused. So, it’s a very good situation for IMS.

SE: It’s clear that building a new and complex tool is difficult and costs a lot of money, right?

Platzgummer: Financing is sort of a distraction, if you’re a small company. With the new owner, we are in a different phase. So we are moving forward. Very soon, the industry will have a new product. It will solve many problems.

SE: Let’s talk about the technology. Today, mask makers use single-beam e-beam tools based on variable shaped beam (VSB) technology to pattern or write the mask. IMS just rolled out the MBMW-101, the world’s first commercial multi-beam mask writer. Targeted for 7nm, the MBMW-101 uses multiple beams to write a mask. What does IMS’ tool bring to the party?

Platzgummer: It will help solve the complexity of photomask designs. And, of course, there is the throughput issue. Our throughput is much faster than VSB tools even on a simple design. It’s 10 hours, compared to maybe 30 hours for a VSB tool. This is breakthrough. This is a paradigm shift that we always hoped to accomplish.

SE: What types of masks are we talking about?

Platzgummer: There are different cases. If EUV comes, it seems multi-beam is an absolute must to handle the write times. The same is true for inverse lithography technology or ILT, as well as for very aggressive OPC. That’s where the write times kill the VSB tool. And also just for resolution enhancement, multi-beam is better for two reasons. First, you have a smaller optical blur than the VSB tools. And then, we can always use a higher dose. That’s another value-added for resolution, because you can use a non-CAR or just better resists to make your pattern.

SE: IMS’ multi-beam mask writer tool took a long time to develop, right?

Platzgummer: It took a long time and it was hard work. If you remember my first visit to BACUS, my first presentation was in 2007. Even four years ago, we had nice prints. So it’s not easy to go from having nothing to having a product. Many people thought that we had good engineers, but we couldn’t build the tool. Now, we have a tool and we have customers evaluating it.

SE: Some time ago, IMS had an alpha tool. The alpha tool and production system are similar in terms of beam count and other features, right?

Platzgummer: The tool still has 262,144 beams. It’s 512 x 512. It’s an array of beams. The size, or what I call the brush, is 82 micrometers. The most important thing is that the product already works. We are in a different phase now.

SE: IMS also had a deal with JEOL. IMS is developing the multi-beam and write-engine technology. JEOL is working on the platform and stage. Is that deal still in place?

Platzgummer: We are still working very close with JEOL.

SE: What’s new about the production tool?

Platzgummer: The datapath. The new datapath is primarily ten times faster than the old one. There are no other major changes in the architecture. The 10x enhancement in speed gives you some throughput enhancement. You can also generate more redundancy in the layers.

SE: So you boosted the datapath from 12- to 120-Gbps. Why is that important?

Platzgummer: It’s for the throughput. The 12G tool was not really superior to the VSB tool. For complex patterns, yes. VSB tools would take probably 40 to 60 hours to write a complex mask. But for multi-patterning, where the whole layer is split into sub-layers, the VSB tool was in a good situation. The shot counts went down again. So that helped the VSB tools to meet the productivity specs over the last years. In that sense, the full layer tool that we had before the upgrade was nice, but it was not a breakthrough. The 120G tool is a breakthrough, because it’s significantly faster than the VSB tool.

SE: What can the 120G version of the tool do?

Platzgummer: It can write any pattern as well as full layer, ILT and aggressive OPC. So it can write anything you want at significantly enhanced speeds.

SE: What else?

Platzgummer: The multi-beam writer, as we built it, will enable much more complexity on ILT, aggressive OPC and maybe pixilated masks. All of those things have been investigated. But often, it was not adopted because the write times were not supporting any reasonable manufacturing of such masks.

SE: What about EUV masks?

Platzgummer: The shot counts almost explode for EUV. This is because EUV has a lot of information in one layer. Also, it needs OPC. It seems like EUV masks need multi-beam. This is also why we receive a lot of interest.

SE: What about ILT?

Platzgummer: ILT will be widely used if our tool is on the market. Right now, as far as I understand it, ILT is used only in a simple form with Manhattan-type of structures. But ILT, like some have been showing since four years ago, is a more rounded and curvilinear structure. They can be printed with multi-beam. That should enable improved lithography or improved source-mask optimization. So you can use multiple illuminations and then add fancy sub-resolution structures that don’t cost you write time for the mask.

SE: What does this mean for the industry in general?

Platzgummer: Here’s the key: Creativity should grow a lot. There is no throughput excuse that masks have to look simple. This opens unexpected opportunities, in my opinion. But it’s not totally clear for the community yet. It needs to be seen how these versatile patterns can help the devices and yields.

SE: When will you start selling the new tool?

Platzgummer: Now, we are at the point where we can offer the tool to the industry and talk to customers and talk about orders. In 2017, we will start to sell tools to the market.

SE: There are still challenges for IMS and its new tool, right?

Platzgummer: The tool still needs to demonstrate what it really brings. The device and lithography people will need to understand what it can do. That’s why people like to have a tool early. They want to start testing it. They want to see how it behaves. The OPC needs to be tested.

SE: IMS is targeting its multi-beam tool for 7nm. NuFlare, the leading supplier of single-beam VSB tools, is also targeting its new system for 7nm. Which technology—multi-beam or VSB–will be used for mask production at 7nm?

Platzgummer: I would assume both. It will depend on the response of the industry.

SE: Any other thoughts?

Platzgummer: When I started, people told me: ‘We don’t need it.’ Then, some customers were a bit earlier in making the decision that they need a multi-beam mask writer. Now, there is a full consensus that multi-beam is needed.

SE: Can you use the IMS tools for 10nm masks and above?

Platzgummer: You can you apply it to the back nodes. But it is not a good market, though, for us right now. The industry is using VSB tools for that. So for us, the entry has to go to the leading edge, enabling new performance and new patterns. In the long term, once this tool is available, people will find out that they can use it for any node.

SE: With your new tool, IMS talks about writing a mask in 10 hours. Is that with all types of masks?

Platzgummer: That’s any kind of pattern.

SE: Can you write faster than 10 hours?

Platzgummer: You can write faster than 10 hours. Presently, we have a 10-hour mode. At some point, it gets more difficult, because there are effects that come in like temperature.

SE: VSB is not going away as a result of multi-beam, right?

Platzgummer: There is a shot number, and a pattern density, where VSB benefits. There is definitely a pattern density where VSB will continue to make sense. This is good that there is some overlap. It’s good for everybody.

SE: NuFlare is also developing a multi-beam mask writer, which is geared for the 5nm node. How far is IMS ahead of NuFlare in multi-beam?

Platzgummer: I can’t comment on that. But, of course, we take them very seriously. We can only look at our own roadmap. And time to market is very important. Maybe the industry will be happy that there are eventually two suppliers.

SE: Let me clarify something. I assume IMS will sell its tool to other mask makers and not just Intel, right?

Platzgummer: This is clearly said and communicated to all of the partners. We are a standalone subsidiary, but we will serve the entire mask market. We are absolutely not in any respect an Intel-only supplier.

SE: During a presentation, IMS talked about its next-generation system. What’s that about?

Platzgummer: We’re inserting the first tool. And then, every two years, we will upgrade to the next node. The specs will go down. I don’t see a big issue in going from generation to generation.

SE: Some time ago, IMS dropped hints that it could use its technology to develop a system for direct-write lithography. Any thoughts on that?

Platzgummer: First, it’s important to get the multi-beam mask writer tool up and running. It’s actually a challenge for us to handle the growth and to deliver this technology reliably to the customers. At the present time, a distraction would be the wrong thing to do.

Related Stories
Multi-Beam Market Heats Up
Mask Maker Worries Grow



3 comments

memister says:

With multiple beams, how is the uptime?

Mark LaPedus says:

Regarding the uptime, Elmar Platzgummer, chief executive of IMS, said: “Since the tools have been successfully upgraded, there was no uptime issue until now, but the period of observation needs to be longer to make a meaningful statement. Maybe by end of this year I can give you some update on the usability. From what we see so far, we have good reasons to believe that the uptime result will be quite good and will satisfy industry expectations.”

memister says:

Thanks! So maybe it’s time to ask again about the uptime…

Leave a Reply


(Note: This name will be displayed publicly)