Throughput remains an issue. A solution will require a combination of technologies.
Electron-beam inspection is proving to be indispensable for finding critical defects at sub-5nm dimensions. The challenge now is how to speed up the process to make it economically palatable to fabs.
E-beam inspection’s notorious sensitivity-throughput tradeoff has made comprehensive defect coverage with e-beam at these advanced nodes especially problematic. For Intel’s 18A logic node (~1.8nm class), for example, and Samsung’s 3D NAND memory with hundreds of layers, defect inspection is pushed to its limits.
Traditional inspection methods start encountering fundamental physical limitations below 5nm. Optical inspection systems, which historically have been the workhorses for defect detection, struggle at advanced nodes due to diffraction limits, reduced contrast from complex material stacks, and increasingly subtle defect signatures.
E-beam inspection offers nanometer-scale resolution to catch tiny killer defects that optical tools might miss, but these advantages come with significant tradeoffs. Throughput is a primary bottleneck. Scanning an entire 300mm wafer with a single electron beam can take many hours or even days, far exceeding the strict time budgets of modern fabs.
“If you want to catch the defects in the fabrication line at the advanced nodes, like 7nm or 5nm, you have to be inspecting billions of structures,” said Michael Yu, vice president of advanced solutions at PDF Solutions. “And if you want to do it in-line, leading-edge fabs are going to give you a little less than two hours because they cannot hold the wafer for more than two hours in between the process steps.”
In practice, this means traditional e-beam tools can sample only a small fraction of a die or wafer, risking that some critical defects (often occurring at parts-per-billion levels on advanced chips) will be missed. E-beam’s resolution advantage also comes at a price. To resolve ever-smaller features, the beam current and field of view are limited, which further slows down inspection.
“One fundamental challenge at advanced nodes is balancing inspection speed and resolution,” said Ran Alkoken, head of marketing for E-beam defect control at Applied Materials. “Second-generation CFE technology significantly increases current without sacrificing resolution. That’s critical for managing dense defect maps encountered at these advanced nodes.”
Higher-brightness electron sources like cold field emission (CFE) help improve resolution and signal-to-noise ratio, but they only partially mitigate the throughput gap. E-beam tools remain markedly slower than optical scanners, so they must be used strategically at the most critical steps.
Beyond speed
Beyond speed, advanced nodes introduce physical and electrical challenges for e-beam inspection. The small size and complexity of features mean fewer electrons are available from each feature, so images are inherently noisier unless the beam dwells longer or averages over multiple frames, which again reduces throughput.
At the same time, the beam can disturb the sample. Insulating low-k dielectric surfaces accumulate charge under electron bombardment, distorting the image and even deflecting the beam. If the beam energy is raised to get a clearer, faster picture, it risks damaging delicate structures or altering defect characteristics. Inspectors therefore often operate at lower landing energies to avoid charging and damage, but this yields weaker signals.
“The key for the e-beam inspection is throughput,” says Yu. “You cannot afford to spend a lot of time on the structure, but it’s also important that you do not use very high landing energies because that will cause damage in the structures that you are inspecting.”
Fig. 1: Latent weak spots in a wafer. Source: PDF Solutions
This balance between beam energy, dwell time, and sample safety underscores how difficult it is to capture every defect at angstrom-scale dimensions without generating false signals or harming the device. Indeed, as features shrink below 5nm, random noise and shot noise in the electron signal become significant. A limited number of electrons must carry the burden of revealing atomic-scale voids or line-edge roughness, pushing e-beam detectors to their limits of sensitivity.
Three-dimensional structures in advanced logic and memory add another layer of complexity. Modern transistors and interconnects have significant topography, and chips like 3D NAND have extremely deep vertical channel holes. Depth-of-field limitations mean an e-beam may not keep an entire high-aspect ratio structure in focus at once. If a wafer or die is even slightly bowed or warped, which is not uncommon after numerous process steps or in advanced packaging, some regions will be out of the focal plane for a finely tuned e-beam column. The result can be blurred or missed defects in those areas. Today’s e-beam systems combat this by using dynamic focus and stage mapping, but the margin for error is thin at advanced nodes.
“Interferometry still dominates wafer metrology, but it has limitations, especially as packaging technologies evolve,” said Javier Elizalde, COO of Wooptix. “We’re now seeing a growing need for alternative measurement approaches that can adapt to new materials, new bonding methods, and new process flows.”
In other words, traditional methods of measuring and correcting wafer shape — often based on interferometry — may no longer suffice when faced with highly warped wafers or novel film stacks. New optical techniques, such as wavefront phase imaging, aim to rapidly map wafer topography by capturing phase information from multiple focal planes. That can help e-beam tools adjust focus on the fly across a wafer. Still, compensating for wafer warpage and surface topology remains a major challenge. Without precise height maps and fast focus control, multi-layer defects in logic gate-all-around nanosheets or slight misalignments in stacked memory layers might escape detection simply because they weren’t in perfect focus.
Finally, no single inspection modality can tackle all these issues in isolation, so integration with other techniques is crucial at advanced nodes. E-beam’s slower throughput and surface-oriented view mean it often must be combined with high-speed optical inspection for rapid whole-wafer scans, and with methods that can detect buried or internal defects.
For instance, complex 3D packaging and through-silicon vias can harbor voids or mis-bonds deep inside structures where neither optical nor surface e-beam inspection can reach. X-ray inspection is emerging as a complementary solution for these hidden defects.
“X-ray inspection is critical at advanced nodes because it can detect buried defects that optical methods cannot,” said Lior Levin, director of product marketing at Bruker. “Yet simply improving resolution isn’t sufficient as we move below 5nm. AI-driven algorithms are essential to process complex diffraction data and significantly enhance detection accuracy.”
Whether it’s X-ray tomography for unseen voids or e-beam for tiny surface defects, raw resolution alone isn’t enough. The complexity of data at advanced nodes demands smarter analytics. In practice, chipmakers now deploy a hybrid strategy. High-volume optical tools flag potential anomaly sites across the wafer, then e-beam review tools zoom in on nanoscale defects or perform voltage contrast measurements. X-ray or acoustic microscopy might be used for fully buried interface issues, while electrical testers catch performance fallout from any undetected defects.
“In advanced front-end process nodes, as well as in advanced packaging, defects aren’t always visible, even under the highest-resolution microscopes,” said PDF’s Yu. “Integrated inspection methods combining X-ray, e-beam, optical, and electrical tests with AI-driven data analytics are now essential. You can’t rely on a single tool. A holistic approach is necessary.”
This holistic philosophy is driven by necessity. As scaling and new architectures create ever more subtle and varied failure modes, a siloed approach to defect inspection leaves too many blind spots. The downside is an explosion of data from all these tools, and coordinating that data is non-trivial. Still, the consensus is that only by using each inspection modality for what it does best, and knitting the results together, can fabs maintain yield and reliability in the Angstrom era.
Multi-beam systems and advanced electron optics
To overcome e-beam’s fundamental limitations, equipment makers are reinventing the technology through multi-beam systems, advanced electron optics, and computational imaging techniques. Rather than a single electron beam crawling across the wafer, multi-beam e-beam inspection splits the workload among many beamlets that scan in parallel. In essence, if one beam can cover only a tiny area per second, an array of 5 x 5 beams can inspect a die or wafer 15X faster.
The key here is careful electron optics design to avoid interference between beams. Too high a current in one beam causes electrons to repel (Coulombic interactions) and blur the focus. Multi-beam systems sidestep this by using many lower-current beams in parallel, each maintaining a fine spot size.
Each beamlet has to be precisely aligned and its signals synchronized. Algorithms stitch together the images from the multiple beams into one composite defect map. That stitching must account for any slight offsets or distortions; a mis-calibrated beamlet could otherwise create false mismatches at the seams between its scan area and that of a neighbor.
Managing so many parallel beam columns and detectors also raises complexity in terms of calibration and maintenance. Effectively, a multi-beam tool is like running dozens of mini-SEMs in concert. Early multi-beam adopters needed to tackle these engineering challenges, but the reward has been transformative. For the first time, high-volume fabs can consider using e-beam inspection in-line (during regular production) on critical layers, not just for R&D analysis or occasional sampling. Multi-beam systems today are being used for both physical defect inspection and voltage-contrast electrical defect detection at advanced nodes, catching subtle issues in vias, contacts, and interconnects that optical tools might overlook.
While multi-beam architectures greatly speed up data collection, they also multiply the data output and coordination requirements. A 25-beam inspector generates 25 image streams that must be processed and combined in real-time. The sheer volume of image data (potentially terabits per second of electron signal) poses a big data crunch for the system’s computers and storage. More importantly, identifying real defects among this firehose of data calls for advanced software. This is where AI and computational imaging come into play.
“Simply improving resolution isn’t sufficient as we move below 5nm,” noted Bruker’s Levin. “AI-driven algorithms are essential to process complex diffraction data and significantly enhance detection accuracy.”
In practice, modern e-beam inspection platforms increasingly are paired with machine learning models that analyze the electron images for tiny anomalies. Instead of relying purely on a human-defined threshold or a simple comparison to a reference die, AI algorithms can learn to recognize the subtle signatures of defect versus normal variation, reducing both missed defects and false positives.
“AI-based detection doesn’t just increase throughput,” said Applied’s Alkoken. “It significantly reduces false alarms and simplifies defect binning. In production fabs, manual review workloads have decreased by as much as 50% due to this capability.”
Fewer false alarms mean engineers spend less time reviewing benign “defects,” allowing them to focus on real yield limiters. Moreover, AI can adapt to new defect types faster by training on large datasets, which is vital as each new process node or 3D structure introduces unfamiliar failure modes.
Computational techniques also extend to image enhancement. For example, software can de-noise and sharpen e-beam images, or even infer missing information by correlating multiple frames. Some e-beam systems leverage design-aware algorithms. Knowing the intended layout from the CAD data, the system can better distinguish a real unintended anomaly from allowable pattern variation. This design integration is another powerful tool to improve defect capture.
“To address the throughput limitations of traditional raster-scan e-beam, the industry is pursuing approaches like multi-beam systems and innovative point-scan or vector-scan methods, which offer the potential for significantly faster overall inspection,” adds Yu.
Today’s leading solutions therefore combine design data, process context, and multi-modal inputs to make the e-beam inspection smarter. PDF Solutions, for instance, employs a “DirectScan” vector approach that uses the chip design to guide the e-beam to critical locations (patterns of interest) rather than blindly raster scanning. This kind of data correlation between what is on the mask design, what optical inspection flagged, and what the e-beam sees is crucial to manage the enormous data set and pinpoint the root causes of defects.
It also helps with beam alignment and navigation. By referencing the design, the tool can jump to coordinates of a suspected weak pattern and ensure the beamlet array is correctly overlaid, avoiding wasted time or crashes into topography.
The advanced electron optics in new e-beam tools are not limited to multi-beam. Even single-beam systems are evolving with better sources and lenses. Cold field emitters increase brightness and coherence, which enable sub-nanometer resolution at faster scan rates. Aberration-corrected electron optics are being explored to maintain a tight focus over larger fields. There’s also interest in expanding depth-of-focus via computational methods, for example, by capturing through-focus image stacks and algorithmically combining them to keep both top and bottom of a feature sharp. In practice, however, this can be time-consuming.
On the hardware side, some multi-beam designs use modular columns where each beamlet has its own mini-lens and detector, allowing fine control of focus and stigmation per beam. This can help compensate for local wafer curvature. A beamlet hitting a slightly raised die corner can be tuned independently to stay in focus. Still, implementing dynamic focus across dozens of beams is a formidable control problem. This is where optical metrology like Wooptix’s wavefront phase imaging may assist by feeding the e-beam tool a high-resolution height map of the wafer ahead of time. With an accurate topography map, the e-beam’s stage can adjust height or the columns can pre-adjust focus for each region, mitigating warpage effects on the fly.
Such hybrid solutions blur the line between different types of inspection equipment. For example, an e-beam system might incorporate an optical pre-scan mode for quick alignment and region selection, or an X-ray tool might hand off suspect locations to an e-beam for a closer look, all under an integrated software umbrella.
Conclusion
The future of e-beam inspection lies in smart integration of beam control, design data, and inspection modalities rather than raw hardware improvements alone. While multi-beam systems and cold field emission sources bring much-needed speed and precision, they also introduce data overload and system complexity. This has forced the industry to rethink how inspection tools are designed, how they’re calibrated, and how their output is processed. The rise of AI-enabled defect classification and image analysis is making it feasible to keep pace with both the data volumes and the increasingly subtle failure mechanisms at advanced nodes.
At the same time, achieving real-time feedback from inspection tools is critical to accelerating process tuning and yield ramp in high-volume fabs. Technologies like wavefront phase imaging and design-aware vector scanning are helping bridge the metrology-to-inspection divide, allowing tools to better predict where issues will arise and inspect those areas more intelligently. By combining optical, X-ray, and e-beam capabilities under a unified analysis framework, fabs are inching closer to the goal of predictive defect detection where no yield limiter escapes notice.
Ultimately, no single technology will solve the inspection challenges of the angstrom era on its own. But with tighter integration, smarter analytics, and continued advances in beam physics and system design, e-beam inspection is poised to become a mainstay not just in R&D or failure analysis, but across full production lines.
Related Reading
Nearly Invisible: Defect Detection Below 5nm
Increasing complexity of semiconductor devices necessitates a fundamental rethinking of defect detection methodologies.
Metrology Advances Step Up To Sub-2nm Device Node Needs
Fab processes that enable stacked transistors, hybrid bonding, and advanced packaging are driving the need for more and better measurements.
Leave a Reply