Systems & Design
WHITEPAPERS

IC Compiler II Multi-Level Physical Hierarchy Floorplanning

How to shorten time to results by maximizing productivity of physical design teams.

popularity

Large, complex SoC designs require hierarchical layout methodologies that span multiple levels of physical hierarchy. Many EDA tools only handle two levels of physical hierarchy at a given time resulting in longer layout schedules that are risky at best. Synopsys’ IC Compiler II provides automation designs with multiple levels of hierarchy that minimizes time to results, provides best QoR, and maximizes productivity of physical design teams.

This paper presents the need for multi-level physical hierarchy floorplanning, the challenges inherent with this style when using tools limited to two levels of hierarchy, and discusses how IC Compiler II addresses these challenges. To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)