Mixed Picture Seen For EUV Masks

The confidence level of EUV is high, but there are some gaps.

popularity

The confidence level of extreme ultraviolet (EUV) lithography continues to grow as the technology moves into production, but the EUV mask infrastructure remains a mixed picture, according to new surveys released by the eBeam Initiative.

The EUV mask infrastructure involves several technologies that are in various stages of development. On one front, the outlook for several mask tool technologies, such as multi-beam mask writers and actinic patterned mask inspection, is positive, according to the survey from the eBeam Initiative. The outlook remains mixed for another critical part of EUV—EUV pellicles.

EUV mask yields are improving to some degree. The current EUV mask yields are at 74%, according to the new survey. EUV mask yields were at 72%, according to the 2018 survey.

Needless to say, the industry wants better EUV mask yields. The industry would also prefer to have all of the EUV mask pieces in place, such as actinic inspection and pellicles, to fulfill the potential of EUV lithography.

These and other results have been released by the eBeam Initiative, a forum dedicated to the education of semiconductor manufacturing approaches based on electron beam (eBeam) technologies.

The eBeam Initiative released two surveys. One survey from the group is called the “perceptions survey.” Industry luminaries representing 42 companies from across the semiconductor ecosystem participated in this year’s survey. The eBeam Initiative also completed its fifth annual mask makers’ survey with feedback from 11 captive and merchant photomask manufacturers.

The data from the survey was collected over a 12-month period from July 2018 to June 2019. The data was based on 599,536 masks reported by 11 companies. Of those, 2,789 EUV masks were reported.

Based on the results from the perceptions survey, the industry remains upbeat about EUV. In the survey, 73% of respondents predict that EUV lithography will be used in high-volume manufacturing (HVM) by the end of 2020. In comparison from last year’s survey, 82% of respondents predicted that EUV will be used in HVM by 2021.

Today, though, Samsung and TSMC are already in production with EUV lithography at the 7nm node, although the volume and customer base is somewhat limited, analysts said. TSMC, for one, expects a bigger ramp for EUV at the 5nm node in 2020.

Nonetheless, the EUV mask infrastructure is taking shape. For some time, IMS Nanofabrication has been shipping multi-beam mask writers, which helps enable the development of EUV masks. NuFlare is also working on the technology.

Multi-beam mask writers as a percentage of all new mask writers purchased is predicted to rise 50% by the end of 2022, according to the survey from the eBeam Initiative.

For some time, the big gap was actinic patterned mask inspection for EUV. Hoping to fill the gap, Lasertec recently announced the ACTIS A150, a mask inspection system designed to inspect patterned masks for EUV.

The outlook on actinic inspection remains positive. In the eBeam Initiative survey, only 5% of respondents predicted that it will never be used in HVM.

As before, the EUV pellicle is also a gap. Some 82% of respondents predict that EUV pellicles will be available for HVM by 2022, according to the survey.

Overall, though, the outlook is positive for EUV and other technologies. “Every year, the annual eBeam Initiative surveys provide valuable insight into the key trends that are shaping the semiconductor industry. This year’s surveys are no different, with results showing continued strong sentiment with EUV lithography, ILT and multi-beam mask writing,” said Aki Fujimura, chief executive of D2S, the managing company sponsor of the eBeam Initiative.

Here are some of the other results from the surveys:
•The average mask turnaround time (TAT) for 7nm up to 11nm ground rules was 11.07 hours, more than twice as long as mask TAT for 32nm up to 45nm.
•75% of participants predict that inverse lithography technology (ILT) will be used in conjunction with EUV lithography on at least one layer of a high-volume production chip by 2022.
•Usage of ILT in production today is perceived to have increased compared with last year’s survey.
•Deep learning will likely be a purchasing criterion soon for semiconductor operations.

Fujimura will present the results of the mask makers’ survey in an invited talk this week at the SPIE Photomask Technology Symposium in Monterey, Calif.



Leave a Reply


(Note: This name will be displayed publicly)