Survey: Optimism Grows for EUV

VSB here to stay despite multi-beam mask writers.

popularity

The optimism is growing for extreme ultraviolet (EUV) lithography in the market, according to a pair of new surveys released by the eBeam Initiative, which also revealed some new and surprising data about mask writing tools and other photomask technology.

In one of the surveys from the eBeam Initiative, respondents revealed that they are more optimistic than ever about the implementation of EUV lithography in high-volume manufacturing. In fact, 79% of respondents said that EUV will be used for at least one manufacturing step in chip production by the end of 2021, according to the survey.

In comparison, 60% of respondents said that EUV will be used for at least one manufacturing step in chip production by the end of 2020, according to last year’s survey from the eBeam Initiative. In 2015, the figure was 62% for the 2020 timeframe, according to the organization.

“People have shifted their opinion,” said , chief executive of D2S, the managing company sponsor of the eBeam Initiative. “EUV confidence is the biggest thing. Pretty much everybody thinks it’s going to happen now.”

Besides EUV, the confidence level also remains high for the new multi-beam mask writers in the market, according to the survey. But respondents also said the incumbent e-beam technology–variable shaped beam (VSB) mask writing systems–is still adequate for the next few years.

While the optimism is high for new technologies like EUV and multi-beam, the survey also reveals some troubling trends. For example, on average, EUV mask yields remain low at 64.3%, according to the survey. And overall mask turnaround times and data volumes continue to increase.

The two new surveys were released by the eBeam Initiative, an organization that provides a forum regarding new design-to-manufacturing approaches that help to reduce mask costs based on e-beam and other technologies.

One survey from the eBeam Initiative is called the “Perceptions Survey.” Industry experts representing 40 companies from across the semiconductor ecosystem—including photomasks, EDA, IC design, equipment, materials, manufacturing and research—participated in this survey. The eBeam Initiative began to compile and release that survey six years ago.

The other survey is called the “Mask Maker Survey.” In 2015, the organization picked up the remnants of that survey, which was previously handled by Sematech. This survey incorporates feedback from 10 captive and merchant photomask manufacturers.

In the “Perceptions Survey,” the confidence is climbing for EUV. That’s good news as the industry wants EUV for good reason. Today’s 193nm immersion lithography tools and multiple patterning techniques will extend to 10nm/7nm and beyond, although these technologies are becoming more complex and costly for some of the critical layers in IC designs.

Today, in fact, GlobalFoundries, Intel, Samsung and TSMC are all developing EUV for the 7nm and/or 5nm for some layers, namely the critical metal layers and vias. In addition, Samsung also hopes to insert EUV for the 1xnm node for DRAMs.

As EUV makes substantial progress, the industry is becoming more confident about the technology, according to the survey. In addition, the survey also tracked the confidence level for nanoimprint, direct-write and directed self-assembly (DSA).

Some 46% of respondents believe that nanoimprint will be used for one step in the manufacturing flow by 2021. Today, nanoimprint is mainly used for NAND flash. In the same timeframe, respondents were mixed about complementary e-beam lithography (26%), traditional direct-write e-beam (18%) and DSA (18%).

“In the perceptions survey, feedback clearly indicates that EUV has turned a corner, with nearly all respondents anticipating that it will be used in semiconductor HVM at some point in the future,” D2S’ Fujimura said. “This marks a sizeable shift from only three years ago, when one-third of survey respondents believed that EUV would never see HVM adoption.”

In addition, multi-beam e-beam for mask writing is gaining steam. Today’s single-beam e-beam tools are improving, but they are just barely keeping up with complex masks. Multi-beam e-beam technology promises to address the critical problem of mask write times as the industry moves to smaller geometries.

In the survey, 74% of respondents predict that multi-beam technology will be used for mask writing in high-volume manufacturing by the end of 2019. The weighted average of the expected time for high-volume implementation has been pushed out by 10 months compared to what last year’s respondents predicted.

Still, the confidence level that multi-beam will be adopted increased over last year’s survey. “People expect multi-beam is going to be used in HVM very soon,” Fujimura said. “Also interesting are the responses related to multi-beam technology, where confidence remains high but predictions of its expected insertion point have been extended by nearly a year.”

Multi-beam mask writers won’t displace the conventional single-beam e-beam tools in the mask shop. According to the survey, 61% of respondents say that VSB throughputs are adequate for the next few years.

In the survey, meanwhile, 70% of respondents believe that inverse lithography technology (ILT) is being used in at least a few critical layers at advanced nodes today.

More data
In the separate “Mask Makers Survey,” meanwhile, the data was collected from 10 mask makers during the period from the third quarter of 2016 to the second quarter of 2017. In the survey, there was a noticeable increase in mask volumes at less than 11nm but greater or equal to 7nm. And as before, the largest mask volumes are situated at 28nm and above. “The trailing edge will remain at very high volumes for a long time,” he said.

As expected, the number of masks per mask set is increasing at each node. At 130nm and above, the number of masks per mask set is 25, according to the survey. In comparison, the average number of masks per mask set is 76 at less than 11nm but greater or equal to 7nm, compared to 65 at less than 16nm but greater or equal to 11nm, according to the survey.

Mask complexity has a major impact on mask turnaround times. The weighted average of the mask turnaround times are approaching 12 days for 10nm and 7nm, compared to 6 days for 28nm, according to the survey.

The weighted average of mask data preparation time is also greater for finer masks, exceeding 21 hours for 10nm and 7nm ground rules. This compares to 9.1 days for 28nm, according to the survey. Data prep error was the leading cause of mask returns (28%) identified by respondents.

“In the Mask Makers Survey, a new question validated a clear trend on the use of MPC below 16nm ground rules, partially resulting in the significant increases in data preparation time for masks with finer ground rules,” he said.

Fujimura will present the results in detail of the two surveys in an invited talk at this week’s SPIE Photomask Technology Symposium in Monterey, Calif.

Related Stories
Multi-Patterning Issues At 7nm, 5nm
Variations in different masks, alignment problems and the physical limits of immersion add up to serious issues at 7nm and 5nm.
Why EUV Is So Difficult
One of the most complex technologies ever developed is getting closer to rollout. Here’s why it took so long, and why it still isn’t a sure thing.
Mask Maker Worries Grow (Part 1)
First of two parts: Gap widens between economic returns and the amount of R&D required to fully utilize next-generation lithography.
Mask Maker Worries Grow (Part 2)
Part two: Problems continue to grow at each new process node, and so do the costs.



1 comments

memister says:

eBeam Initiative participants are generally pro-EUV for historical reasons. It’s a survey for the EUV choir.

Leave a Reply


(Note: This name will be displayed publicly)