Overcoming The Challenges Of Verifying Multi-Die Systems


Despite clear advantages of multi-die systems, the decision to design a multi-die system rather than a traditional monolithic SoC is not easy. There are numerous new challenges that stand in the way of multi-die system realization. This white paper focuses on the verification challenges of multi-die systems, including: Addressing capacity and performance for system verification Valid... » read more

Early Architecture Performance And Power Analysis Of Multi-Die Systems


A multi-die system is a semiconductor device in which multiple homogeneous or heterogeneous dies are contained within a single package. Multi-die systems have been available for select uses for years, but they are gaining wider popularity and are expected to be used in a wide variety of end applications, including high-performance computing, automotive, and mobile. There are two main factors dr... » read more

Shedding More Light On Photonics For Multi-Die Systems


By Kenneth Larsen and Twan Korthorst Photonics harness the speed of light for fast, low-power, high-capacity data transfer. A tremendous amount of data needs to be moved swiftly across different components in a multi-die system. Considering this, exploiting the advantages of light is one way to mitigate heat dissipation and energy consumption concerns while delivering fast data transmission.... » read more

How Multi-Die Systems Are Transforming Electronic Design


How can the electronics industry continue as Moore’s law slows, system complexity increases, and the number of transistors balloons to trillions? Multi-die systems have emerged as the solution to go beyond Moore’s law and address the challenges of systemic complexity, allowing for accelerated, cost-effective scaling of system functionality, reduced risk and time to market, lower system p... » read more

New Technology Accelerates Multi-Die System Simulation


AI-powered chatbots. Robotic manufacturing equipment. Self-driving cars. Bandwidth-intensive applications like these are flourishing—and driving the move from monolithic system-on-chips (SoCs) to multi-die systems. By integrating multiple dies, or chiplets, into a single package, designers can achieve scaling of system functionality at reduced risk and with faster time to market. Multi-die... » read more

Pushing The Limits Of Hardware-Assisted Verification


As semiconductor complexity continues to escalate, so does the reliance on hardware-assisted simulation, emulation, and prototyping. Since chip design first began, engineers have complained their design goals exceeded the capabilities of the tools. This is especially evident in verification and debug, which continue to dominate the design cycle. Big-iron tooling has enabled design teams to k... » read more

Electro-Thermal Signoff For Next Gen 3DICs


Multi-die designs, 2.5D and 3D, have been rising in popularity as they offer tremendously increased levels of integration, a smaller footprint, performance gains and more. While they are attractive for many applications, they also create design bottlenecks in the areas of thermal management and power delivery. For 3DICs, in addition to the complex SoC/PCB interactions seen in their 2D counterpa... » read more

The Need For 3D IC Packaging And Design Evolution


If you are familiar with Moore’s Law, you’ve probably read pronouncements that the premise of transistor counts doubling each year is reaching a wall due to complex process technologies and device physics limitations. Regardless of how well transistor counts continue to scale, market segments continue to drive the thirst for more compute performance and fast time to markets. Artificial i... » read more