Manufacturing Bits: Feb. 24


EUV progress report At the SPIE Advanced Lithography conference in San Jose, Calif., ASML Holding said that one customer, Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), has exposed more than 1,000 wafers on an NXE:3300B EUV system in a single day. This is one step towards the insertion of EUV lithography in volume production. During a recent test run on the system, TSMC exposed 1,022 w... » read more

Fab Tool R&D And Ramen Noodles


The semiconductor equipment and materials industry has always been a tough business. Over the years, vendors have been under pressure to develop new technologies for a shrinking but demanding customer base. And as a result, many vendors could not keep up, or elected to exit the business, causing a massive shakeout in the industry. It isn’t getting any easier, though. Today, tool and... » read more

Chip Business Picks Up In Japan


Japan's semiconductor business is showing signs of recovery after several years of slumping sales due to an overall market recovery, the favorable exchange rate for the yen, and ongoing business restructuring. Consider Renesas, for example. The company went through several downsizing phases, causing a decline in semiconductor sales by 3.9% to 199.6 billion yen ($1.69 billion) in its fiscal Q... » read more

Solid Years: Cautious Optimism Drives Equipment Spending Into 2015


Worldwide semiconductor capital expenditure growth for this year is expected to be 11% and will increase another 8% in 2015. Throughout 2014, SEMI has tracked 177 facilities worldwide investing about US$34 billion on semiconductor equipment. In 2015, 190 facilities are being tracked with fab equipment spending worth over $40 billion. The double-digit growth in fab equipment spending for this ye... » read more

Fab Tool Biz Faces Challenges In 2015


After a slight downturn in 2013, the semiconductor equipment industry rebounded and experienced a solid upturn in 2014. The recovery was primarily driven by tool spending in the foundry and [getkc id="93" kc_name="DRAM"]sectors. Another big and ongoing story continued to unfold in 2014. In late 2013, [getentity id="22817" e_name="Applied Materials"] announced a definitive agreement to acquir... » read more

Changes In NAND Flash Market


Things are changing quickly in the NAND flash market. Newcomers are challenging long-time market leaders and shifting the lineup in this market. A survey of NAND flash vendors, conducted by DRAMeXchange, a Singapore market research firm, shows that in Q1 of this year Samsung was firmly in first place with $2.175 billion in revenues, followed by Toshiba with $1.548 billion and SanDisk with $1... » read more

Has 3D NAND Fallen Flat?


Today’s planar NAND technology will hit the wall at 10nm, prompting the need for the next big thing in flash memory—3D NAND. In fact, 3D NAND may extend NAND flash memory for the next several years and enable new applications. And it will also drive a new wave of fabs and tool orders. But the transition won’t be as smooth as previous rollouts. 3D NAND is harder to manufacture than pr... » read more

The Week In Review: Manufacturing


Looking to address a new wave of chip architectures in the marketplace, Applied Materials has rolled out its next-generation, medium-current ion implanter. The system, dubbed the VIISta 900 3D, is geared for the production of finFETs and 3D NAND designs at the sub-2xnm nodes. Typically, medium-current implanters have a maximum energy range of about 900keV (triple-charge), with dose ranges fr... » read more

Plugging Information Leaks


A former SanDisk employee was arrested on suspicion of leaking proprietary information about Toshiba’s semiconductor memory to SK Hynix. What makes this particularly interesting is SanDisk is one of Toshiba’s current business partners. The two companies have a joint venture in NAND flash, which competes with South Korea’s SK Hynix. Nihon Keizai Shimbun broke the story last month. Sugi... » read more

Searching For Rare Earths


The semiconductor industry is pre-occupied with several and expensive technologies at once. One the device side, the industry is looking at new chip architectures, such as 3D NAND, finFETs and stacked die. On the manufacturing front, there is 450mm technology, next-generation lithography (NGL) and new materials. And that’s just the tip of the iceberg. Another technology that deserve... » read more

← Older posts Newer posts →