Demand Grows For Reducing PCB Defects


Board manufacturers are boosting their investment in inspection, test and analytics to meet the increasingly stringent demands for reliability in safety-critical sectors like automotive. This represents a significant shift from the past, where concerns about reliability primarily targeted the devices connected to printed circuit boards. But as SoCs become disaggregated into advanced packages... » read more

Finding Defects With E-Beam Inspection


Several companies are developing or shipping next-generation e-beam inspection systems in an effort to reduce defects in advanced logic and memory chips. Vendors are taking two approaches with these new e-beam inspection systems. One is a more traditional approach, which uses a single-beam e-beam system. Others, meanwhile, are developing newer multi-beam technology. Both approaches have thei... » read more

Better Analytics Needed For Assembly


Package equipment sensors, newer inspection techniques, and analytics enable quality and yield improvement, but all of those will require a bigger investment on the part of assembly houses. That's easier said than done. Assembly operations long have operated on thin profit margins because their tasks were considered easy to manage. Much has changed over the past several years, however. The r... » read more

Measuring Reflective Surfaces


Manufacturers are adopting automated optical inspection (AOI) systems based on phase shift profilometry (PSP) for applications in advanced packaging processes. Many of these processes use front end-like techniques to create connections among die within a package and from the packaged die to the outside world. The technique offers fast, precise measurements of the 10µm to 100µm features that a... » read more

Finding Defects In IC Packages


Several equipment makers are ramping up new inspection equipment to address the growing defect challenges in IC packaging. At one time, finding defects in packaging was relatively straightforward. But as packaging becomes more complex, and as it is used in markets where reliability is critical, finding defects is both more difficult and more important. This has prompted the development of a ... » read more

Inspecting, Patterning EUV Masks


Semiconductor Engineering sat down to discuss lithography and photomask trends with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Thomas Scheruebl, director of strategic business development and product strategy at Zeiss; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What fol... » read more

Challenges Grow For Finding Chip Defects


Several equipment makers are developing or ramping up a new class of wafer inspection systems that address the challenges in finding defects in advanced chips. At each node, the feature sizes of the chips are becoming smaller, while the defects are harder to find. Defects are unwanted deviations in chips, which impact yield and performance. The new inspection systems promise to address the c... » read more

EUV Pellicle, Uptime And Resist Issues Continue


Extreme ultraviolet (EUV) lithography is moving closer to realization, but several problems involving scanner uptime, photoresists and pellicles need to be resolved before this long-overdue technology is put into full production. Intel, Samsung and TSMC are hoping to insert EUV into production at 7nm and/or 5nm. While the remaining issues don’t necessarily pre-empt using EUV, they do affec... » read more

EUV Reticle Print Verification With Advanced Broadband Optical Wafer Inspection And e-Beam Review Systems


As the Extreme Ultraviolet (EUV) lithography ecosystem is being actively mapped out to enable sub-7nm design rule devices, there is an immediate and imperative need to identify the EUV reticle (mask) inspection methodologies. The introduction of additional particle sources due to the vacuum system and potential growth of haze defects or other film or particle depositions on the reticle, in comb... » read more

E-beam Inspection Makes Inroads


E-beam inspection is gaining traction in critical areas in fab production as it is becoming more difficult to find tiny defects with traditional methods at advanced nodes. Applied Materials, ASML/HMI and others are developing new e-beam inspection tools and/or techniques to solve some of the more difficult defect issues in the fab. [gettech id="31057" t_name="E-beam"] inspection is one of tw... » read more

← Older posts Newer posts →