Inspecting, Patterning EUV Masks

Experts at the Table: EUV mask making requires certain tools, but are the solutions ready?

popularity

Semiconductor Engineering sat down to discuss lithography and photomask trends with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Thomas Scheruebl, director of strategic business development and product strategy at Zeiss; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation. Part one of this discussion can be found here.


(L-R) Noriaki Nakayamada; Bryan Kasprowicz; Aki Fujimura; Thomas Scheruebl.

SE: EUV mask inspection is a critical part of the mask making process for extreme ultraviolet (EUV) lithography. During the process flow, defects or particles can crop up on the EUV mask. If the defects aren’t found and removed, the defect image may print on the wafer, thereby impacting chip yields or causing failures. So it’s critical to inspect the EUV mask using a mask inspection system. What are the issues here?

Kasprowicz: One of the areas that we’ve brought up is Lasertec coming up with a new actinic pattern mask inspection tool. But first, let me take a step back here. Historically, using a pellicle for EUV, ASML developed a solution where it’s a removable pellicle. This is because you didn’t have an actinic pattern mask inspection tool. So you had to remove the pellicle, clean the mask, and then re-inspect the mask. Then you re-apply the pellicle. This was done with optical ArF-type of wavelengths to do the inspection. Here’s the question: Is this a requirement once Lasertec’s new actinic pattern mask inspection tool is qualified and confirmed in the industry? Is that process step of having a removable pellicle required? That process is a burden in and of itself, because you have to go through the cleaning steps all the time. Does Lasertec’s tool completely mitigate the need for that? That’s still an unknown.

Scheruebl: In today’s deep ultraviolet or optical masks, we have a pellicle. The mask inspection tools work at 193nm at the exposure wavelengths. The inspection is conducted through the pellicle. In the wafer fab, this process is not an issue and is straightforward. Now for EUV, mask making is done at the mask shop. You need to inspect the mask. But you need a system that has the resolutions to do it. Ideally, in the wafer fab, you want to have a pellicle to prevent the particles from falling on the mask. You also need systems in the wafer fab that can inspect the mask. They have to look through the pellicle. Or you have to remove the pellicle, which is a complicated process. With actinic inspection, you inspect the mask through the pellicle. If there are no defects, you move forward. If you find defects, of course, you have to remove the pellicle. Then you send the mask for cleaning in the mask shop.

SE: In other words, optical inspection tools can’t directly inspect EUV masks with the pellicle on top, because the pellicle is opaque to 193nm light. A pellicle itself is a thin membrane that fits on the mask, which prevents particles from landing on the mask. In contrast, using a 13.5nm wavelength, Lasertec’s actinic tool can inspect the mask through an EUV pellicle at higher resolutions. Zeiss sells a different actinic inspection system for EUV called AIMS. Why do we need AIMs?

Scheruebl: With inspection, you detect a defect. Then the defect has to be repaired. That’s the traditional process. The mask is very expensive, especially EUV. So you need to repair the defect. This is done by e-beam mask repair. At the nanometer scale, we remove or etch the material to repair it. And after that, the customer wants to know whether the repair is successful or not. The customer needs to make sure that the defect is repaired in such a way that it will not print on the wafer. That’s where AIMS comes into the picture. With AIMS, it illuminates the mask with the same wavelength as EUV. AIMS has the same wavelength and the same illumination conditions, like the scanner. It generates an aerial image on a CCD camera. The CCD camera sees the same image as the wafer will see on the scanner. And by that you can evaluate, by delta CD and certain specs, if the repair was successful or not. You usually compare it to a reference or to an area of the same feature, which is okay and has not been repaired. By certain criteria, then you can say whether the repair was successful or not, and the defect won’t print on the wafer.

SE: In the mask making process, the first step is to make a mask blank. Today’s optical mask blanks consist of an opaque layer of chrome on a glass substrate. In contrast, an EUV mask blank consists of 40 to 50 alternating layers of silicon and molybdenum on top of a substrate, resulting in a multi-layer stack that is 250nm to 350nm thick. On the stack, there is a ruthenium-based capping layer, followed by an absorber based on tantalum. Eventually, the blank is patterned, forming an EUV mask. What are some of the issues with EUV mask blanks?


Figure 1: Cross-section of an EUV mask. In EUV, light hits the mask at an angle of 6°. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

Kasprowicz: It starts with the substrate itself. You need flatness. You need it to be defect-free. The deposition that is being done requires very conformal films. But these may eventually translate into defects at the surface, where you’re going to do your patterning. So the biggest challenges for the substrate are phase defects. When we’re inspecting with non-actinic wavelengths, you can’t find those. When you have an actinic wavelength, you can see a phase defect. It’s a bump or pit in the surface of the capping layer or in the multi-pair stack. You will see these defects with inspection. Then you deposit an absorber on top of those and you pattern it like you normally would. But it’s those defects that come up from the substrate itself that are real impediments. You may get some others. During the deposition, some particles will come in. But it really starts as a catalyst at the substrate level. I would also add something to the inspection part of EUV mask blanks. There is an actinic blank inspection tool that’s available at the blank suppliers. They will use that to qualify the multi-layer deposition to find these phase defects, prior going to the next step. This would be the capping layer and absorber. They can start vetting their blanks that way.

SE: After the mask blank is made by a blank supplier, it is sent to the mask maker, where it is patterned into a photomask. For today’s optical masks, the patterning is done using an e-beam mask writer based on VSB technology, right?

Nakayamada: VSB stands for variable-shaped beam. The e-beam mask writer can generate electron beams of various kinds of shapes and in numerous discrete sizes usually with 0.1nm steps. The type of shapes, however, are limited to rectangles and right triangles. So the original circuit patterns have to be divided into such basic rectangles or right triangles, which are called shots. If the original circuit pattern is curvilinear, a lot of shots have to be generated. So the writing time will be impractically long.

SE: This is where the new multi-beam mask writers fit in. IMS and NuFlare are shipping or developing these systems. Unlike VSB-based e-beam tools, which are single beam systems, multi-beam mask writers make use of multiple beams to pattern a mask. Multi-beam mask writers are required for patterning EUV masks, right?

Nakayamada: VSB writing converts original patterns to multiple shots, whereas multi-beam writing converts original patterns to essentially a bitmap image. Each pixel in the bitmap is written by a small point beam. There are a massive number of small beams on one multi-beam system, which enables faster writing than VSB. EUV masks have small patterns, which need more exposure dose to suppress stochastic effects. A higher number of shots and higher exposure dose make write times by VSB almost impractically long. That is why multi-beam is needed.

Fujimura: There are two reasons why multi-beam mask writers are required for EUV. One is pattern complexity. If the number of shots or number of shapes become very high, then multi-beam does better than VSB. On a multi-beam machine, the write time is independent of shape count. On an VSB machine, the write time is proportional to the shape count. That’s well known. But the other reason, which actually might even be more important for the immediate use of EUV at the 7nm level, is that multi-beam is better at high resist doses.

Nakayamada: There are three factors — complexity, exposure dose and image placement. Those are the three major reasons why multi-beam is required for EUV mask writing. For the single beam case, if the dose is higher, then the resist may undergo heating effects. And such heating effects degrade the pattern CD uniformity. With multi-beam, each beam current is very small, so the heating is suppressed at a minimum level. So there are advantages to get a better CD uniformity.

Kasprowicz: Multi-beam mask writers give you better CD uniformity, but also mask LER or line-edge roughness. The dose of the resist itself mitigates a lot of the line-edge roughness. So, you get a lot of advantages, such as CDU resolution and image placement with multi-beam, independent of what the feature looks like. On the VSB tool, you usually have to stay with Manhattan or orthogonal shapes. With multi-beam, we can do circles, hexagons and whatever you want off angle. It rasters through it at a fixed time.

Fujimura: It also enables curvilinear shapes. This is more resilient to manufacturing variation. That’s the bottom line. It turns out that the real things that you can manufacture are curvilinear. And if you design for what can be manufactured, it turns out that it’s also true that such shapes are more resilient to manufacturing variation.

Related Stories

Single Vs. Multi-Patterning EUV

EUV Mask Readiness Challenges

EUV Mask Gaps And Issues

EUV, Deep Learning Issues In Mask Making



Leave a Reply


(Note: This name will be displayed publicly)