Mask Making Issues With EUV

Experts at the Table: EUV lithography is moving into limited production, but there are still some gaps and design considerations with EUV masks.

popularity

Semiconductor Engineering sat down to discuss lithography and photomask trends with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Thomas Scheruebl, director of strategic business development and product strategy at Zeiss; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation.


(L-R) Noriaki Nakayamada; Bryan Kasprowicz; Aki Fujimura; Thomas Scheruebl.

SE: For years, chipmakers have used 193nm wavelength lithography to pattern the tiny features on chips. Why can’t the industry continue to push 193nm lithography as it migrates to the 7nm logic node and beyond? And what are some of the issues in terms of migrating to the next patterning technology in extreme ultraviolet (EUV) lithography?

Kasprowicz: Typically, there are two things that happen when people start making technology changes. Either it’s economics or technical. With EUV adoption, even though we had some capability early on, it didn’t make sense to migrate to it right away because the economics didn’t make sense. Now the EUV source power is up, so you have throughput. We can manufacture EUV masks. And you have a cost structure in place. A lot of that transition is starting to happen, but it’s based on the economics. The other reason, as I mentioned, is technical. Either you can’t meet the overlay requirements or there are issues dealing with multi-patterning. Now you have five exposures with 193nm immersion. You’re putting down gratings, and then you have five cut masks or something like that coming in. The economics don’t make sense. And so there are technical challenges to continue to do that with ArF (argon fluoride laser) or optical lithography.

SE: Samsung and TSMC have recently moved into limited production with EUV lithography at the 7nm node. Both companies will use EUV at 5nm. An EUV scanner is a 13.5nm wavelength tool with 13nm resolutions. What does EUV bring to the party?

Kasprowicz: You’re able to pattern in a single-patterning solution. You don’t need to have multiple masks. Your OPC (optical proximity correction) is a little bit easier, as compared to 193nm multi-patterning. In your front-end space, you’re doing some design work or you’re doing some corrections. You don’t have to do as many initially on the front-end space for a 7nm node, for example. So, EUV will be an easier patterning solution than 193nm multi-patterning. This changes for 5nm as it may require double-patterning EUV to get a tighter pitch. This is why some are introducing a 6nm node that still allows for single pattering with EUV. Nonetheless, with EUV, you can get a better quality mask and a better quality wafer based on the pattern fidelity and the imaging performance.

SE: EUV masks are different than traditional optical masks. Today’s optical masks consist of an opaque layer of chrome on a glass substrate. In contrast, an EUV mask consists of 40 to 50 alternating layers of silicon and molybdenum on top of a substrate, resulting in a multi-layer stack that is 250nm to 350nm thick. On the stack, there is a ruthenium-based capping layer, followed by an absorber based on tantalum. What are some of the issues for EUV masks? And is the EUV mask infrastructure ready for the mass adoption of EUV lithography?


Figure 1: Cross-section of an EUV mask. In EUV, light hits the mask at an angle of 6°. Source: Luong, V., Philipsen, V., Hendrickx, E., Opsomer, K., Detavernier, C., Laubis, C., Scholze, F., Heyns, M., “Ni-Al alloys as alternative EUV mask absorber,” Appl. Sci. (8), 521 (2018). (Imec, KU Leuven, Ghent University, PTB)

Kasprowicz: In optical, it’s a transmission mask, so you expose light through it. Whatever comes through the glass will end up on your wafer, whereas an EUV mask is completely reflective and it’s made out of mirrors. So you’re reflecting light at a certain angle off of the mask and through the mirrors, or the lenses of mirrors in this case, onto the wafer.

Fujimura: EUV masks for various reasons probably need to be written using multi-beam mask writers. These are a new kind of mask writers. The industry has been using variable shape beam or VSB mask writing. And now, multi-beam is becoming available for production use. It’s perfect timing. That’s what you need for EUV mask writing.

Nakayamada: The challenge is image placement. The EUV mask writer budget for image placement is very tight. The multi-beam mask writer is the choice for customers, because it enables multi-pass writing. You get a better image placement accuracy.

Scheruebl: We are generally in pretty good shape in the EUV mask infrastructure. The multi-beam writers are available. They have demonstrated good performance. Where I see a gap or a challenge is maybe on the inspection side, because you have smaller features on the mask. You need high-resolution inspection. Currently, it’s done with deep UV optical inspection systems, which cannot resolve the features. They can just tell you that there is a defect. You can see a signal that there is a defect, but you cannot really see if it’s an intrusion or extrusion and what happened. The good news is that Lasertec has announced an actinic inspection system for EUV patterned mask inspection. High-resolution inspection is needed, either actinic or e-beam inspection. An AIMS EUV system from Zeiss is available today.

SE: What will an EUV mask look like? What can designers expect?

Kasprowicz: The very first EUV masks are probably going to have decorations rather than traditional OPC. But then, as the 0.33 NA EUV tool continues down the path, there’s going be some challenges and you’re going to start seeing some adoption of things like ILT (inverse lithography technology). People are going to try every which way they can to stay on single exposure EUV. They are going to use ILT or advanced OPC before they would consider multi-patterning EUV. So that may come into play in the future as the resolution limits start to hit with 0.33 NA, but not at the onset. So EUV masks are going to start off with simple decorations, and then become more advanced just to keep pushing the limits.

Fujimura: Technically you can do many things with EUV, but the reality of the business is probably going to drive people to do single patterning EUV for as long as possible. What that means is all the things you can do to increase the resolution are things that you want to do with single-patterning EUV. The reason why 193nm immersion lithography is becoming more challenging is because in order to expose one layer of a wafer pattern, you have to do multiple patterning. And then you have to line them up and you have all these problems. You want to avoid that as long as possible with EUV. So you want to stay in the single patterning area for as long as possible. You would think that any resolution enhancement technology that’s already available would become a viable option at some point.

SE: What are some of the other issues with EUV masks?

Kasprowicz: On the cost side, there’s still a lot of investments to be made by the mask makers. Certainly, you have material costs on the EUV blank, and subsequently, the pellicle. So those are big upfront costs just on the materials side. Then, on the backend side of things, you have the actinic inspection tools. On the front-end portion, you have multi-beam writers. You have other write tools in general. Primarily, multi-beam for EUV will become the standard. They could be applied to other particular technologies. Etch tools and resist tools are all extendable to whatever mask type you want. But when you get to the backend of things, this is where you start having AIMS, actinic pattern inspection or other things. Then it becomes a little bit more invasive in the cost.

Fujimura: Trying to print 50nm, 40nm or 30nm features is an inherently difficult task for 193nm lithography. Using EUV at 13.5nm wavelengths should make it easier and more viable. For complicated technical reasons, it is actually not that much easier. There are difficulties. It’s not just the wavelength that matters, as it turns out. Today on the mask side, EUV requires maybe some decorations. But it soon will require heavy amounts of decorations on the mask to make the wafer image correct. This is like OPC and ILT. It’s mostly to enhance the resilience to manufacturing variation. You will also want to make what they call the process window as big as possible. And that’s where you will need OPC and ILT.

Related Stories

Single Vs. Multi-Patterning EUV

EUV Mask Readiness Challenges

EUV Mask Gaps And Issues

EUV, Deep Learning Issues In Mask Making



Leave a Reply


(Note: This name will be displayed publicly)