The Benefits Of Curvilinear Shapes On Photomasks


Do you have four minutes to hear why companies like Micron Technology think that curvilinear shapes on photomasks are an advantage? In a short video, Ezequiel Russell, Senior Director of Mask Technology at Micron Technology shows how curvilinear shapes can increase process windows for advanced memory as shown in figure 1. The video was part of a longer panel discussion with industry experts at ... » read more

Designs Beyond The Reticle Limit


Designs continue to grow in size and complexity, but today they are reaching both physical and economic challenges. These challenges are causing a reversal of the integration trend that has provided much of the performance and power gains over the past couple of decades. The industry, far from giving up, is exploring new ways to enable designs to go beyond the reticle size, which is around 8... » read more

Making And Protecting Advanced Masks


Semiconductor Engineering sat down to discuss lithography and photomask trends with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Thomas Scheruebl, director of strategic business development and product strategy at Zeiss; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What fol... » read more

Mixed Picture Seen For EUV Masks


The confidence level of extreme ultraviolet (EUV) lithography continues to grow as the technology moves into production, but the EUV mask infrastructure remains a mixed picture, according to new surveys released by the eBeam Initiative. The EUV mask infrastructure involves several technologies that are in various stages of development. On one front, the outlook for several mask tool technol... » read more

Week In Review: Manufacturing, Test


Chipmakers In its latest move to cut costs and focus on its core business, GlobalFoundries (GF) has announced plans to jettison its U.S. photomask operations in Burlington, Vt., but the foundry vendor will maintain a stake in its joint venture mask unit. Under the plan, Toppan Photomasks will acquire certain assets of GF’s Burlington photomask facility. “GF is transferring its mask tool... » read more

EUV, Deep Learning Issues In Mask Making


Semiconductor Engineering sat down to discuss extreme ultraviolet (EUV) lithography, photomask technologies and machine learning issues with Emily Gallagher, principal member of the technical staff at Imec; Harry Levinson, principal at HJL Lithography; Chris Spence, vice president of advanced technology development at ASML; Banqiu Wu, senior director of process development at Applied Materials;... » read more

Week In Review: Manufacturing, Test


Chipmakers Here comes the battle between 5nm and 6nm processes at two foundry vendors—Samsung and TSMC. Meanwhile, Intel is behind and scrambling to get 10nm out the door. (Intel's 10nm is equivalent to 7nm from the foundries.) Last week, TSMC announced delivery of a complete version of its 5nm design infrastructure. TSMC’s 5nm technology is based on a finFET. This week, Samsung anno... » read more

Machine Learning Moves Into Fab And Mask Shop


Semiconductor Engineering sat down to discuss artificial intelligence (AI), machine learning, and chip and photomask manufacturing technologies with Aki Fujimura, chief executive of D2S; Jerry Chen, business and ecosystem development manager at Nvidia; Noriaki Nakayamada, senior technologist at NuFlare; and Mikael Wahlsten, director and product area manager at Mycronic. What follows are excerpt... » read more

Machine Learning Invades IC Production


Semiconductor Engineering sat down to discuss artificial intelligence (AI), machine learning, and chip and photomask manufacturing technologies with Aki Fujimura, chief executive of D2S; Jerry Chen, business and ecosystem development manager at Nvidia; Noriaki Nakayamada, senior technologist at NuFlare; and Mikael Wahlsten, director and product area manager at Mycronic. What follows are excerpt... » read more

Survey: EUV Optimism Grows


The confidence level remains high for extreme ultraviolet (EUV) lithography, although the timing of the insertion remains a moving target, according to a new survey released by the eBeam Initiative. At the same time, the outlook for the overall photomask industry is bullish, according to the survey. On the downside, however, there appears to be no progress in terms of improving mask turnaro... » read more

← Older posts Newer posts →