Making And Protecting Advanced Masks

Experts at the Table: AI, EUV pellicles and inverse lithography are hot topics in mask making today.

popularity

Semiconductor Engineering sat down to discuss lithography and photomask trends with Bryan Kasprowicz, director of technology and strategy and a distinguished member of the technical staff at Photronics; Thomas Scheruebl, director of strategic business development and product strategy at Zeiss; Noriaki Nakayamada, senior technologist at NuFlare; and Aki Fujimura, chief executive of D2S. What follows are excerpts of that conversation. Part one of this discussion can be found here. Part two of this discussion can be found here.


(L-R) Noriaki Nakayamada; Bryan Kasprowicz; Aki Fujimura; Thomas Scheruebl.

SE: There is a lot of talk about pellicles in mask making. Pellicles are a thin, transparent membrane that covers a photomask. Why do we need pellicles for extreme ultraviolet (EUV) lithography?

Kasprowicz: It’s the same reason why you need them in optical lithography. If there’s any particulates after you qualify a mask, and you know that it’s particle free and defect free, you want to protect the mask surface. So the mask has a longer lifetime. In the optical world, the pellicle is a thin film or polymer film that goes on top of the frame, and that’s applied to the patterned side of the mask. What it does is keep any particulates from falling on the patterned substrate of the mask, so when you image the wafer, the defect image doesn’t come up on your patterned wafer. It’s the same concept for EUV, except it needs to stand up and have the transmissivity at 13.5nm, which is the wavelength for EUV. But it also has to stand up to high heat, because we’re exposing the pellicles at high power. It’s not an easy thing to do to create that. The film structure is completely different. But again, it’s the same concept. Once you apply it to the frame and put it on the mask, it keeps the particles off. It’s also wavelength dependent. It depends on what type of materials are being used for the pellicle. For EUV, it’s a polysilicon-type structure that’s being used. In order to create that, you have some capping layers for durability, and particularly, for heat dissipation.

Fujimura: It’s difficult. EUV light needs to go through the pellicle twice for EUV lithography, because EUV masks are reflective. If you are shining the light through the mask like 193nm immersion lithography, it only goes through the pellicle once. But if it’s reflective, EUV light goes through the pellicle twice. If you have 85% transmission for the pellicle, then it’s actually 85% square to get it back. So you have a huge loss from that. And the power source for EUV is directly related to how fast you can write the wafers. Wafer productivity is directly related to this number. And for high-volume production, these are very important numbers.

Scheruebl: It’s more complex than in deep UV or optical lithography. In deep UV, you just have a polymer film for the pellicle. But for EUV, due to the nature of the radiation, you have only certain materials for EUV pellicles. You have thin films. And this requires sophisticated processes for manufacturing. On top of that, you have challenges with the transmission. That’s the main challenge with EUV pellicles. Then, there is the stability over time because of the heat load.

SE: Inverse lithography technology (ILT) is a next-generation reticle enhancement technique (RET). ILT can be used for both optical and EUV masks. In ILT, you have patterns that you want to print on the wafer. Then you formulate the target patterns and you inversely calculate the desired mask patterns. What does ILT bring to the mask-making world?

Fujimura: ILT has been around for awhile. What’s new is ILT that’s built specifically for the multi-beam mask writer world. The previous versions of ILT had to conform itself to the VSB mask-writing world, where you could only have Manhattan shapes. You had to be cautious of the shape count and shot count that was demanded, so there was a lot of time and effort spent to make the ILT output VSB appropriate. And there was a loss of quality in the way images can be produced because of that limitation. The new world is multi-beam based, and that enables ILT to output pure curvilinear shapes. So curvilinear masks allow for what we were talking about earlier. It’s a much higher resilience to manufacturing variation on the mask, and in time, on the wafer.

Kasprowicz: ILT is considered part of OPC. It’s a more extreme flavor of it. In general, what ILT brings to the table is that it gives you full design flexibility and entitlement to what EUV, or any particular wavelength for that matter, imaging solution has to offer. It doesn’t restrict you in any way. What allows that is multi-beam mask writing. It’s not having restrictions on any type of shape that you can write. That flexibility is key and having ways to actually pattern it.

SE: ILT has been used in niche applications, mainly for hot spot repair on the mask. The industry is moving closer toward full-chip ILT masks, which enables new and advanced photomasks. ILT could enable new chip designs or make them easier. Are there any challenges to write, inspect or repair ILT masks?

Kasprowicz: It makes mask validation that much more challenging, though. Difficulties can arise on the mask side. Many ILT patterns are like assist features and are not intended to print on the wafer. Mask makers cannot distinguish the difference. There is also a big impact on inspection, whether it’s 193nm or actinic. This also impacts write times because of the data density. This is not material with multi-beam, but it is with VSB.

Scheruebl: But if you have curvilinear features with the AIMS tool, you will see it like the scanner. You can resolve that easier.

Fujimura: Repair should be no problem, because the shapes on actual masks even today are curvilinear. So that’s not a problem at all. Some inspection vendors have stated their machines are ready for curvilinear shapes.

Nakayamada: Let me answer from a mask writer’s perspective. The good thing about the multi-beam mask writer is that the writing time is constant regardless of full-chip ILT or partial ILT. But the remaining concerns are input data volume and data processing turnaround times. Full-chip ILT means huge input data volumes must be sent to the mask writer. It also requires long turnaround times in mask process correction (MPC) before being sent to the mask writer. NuFlare proposes solutions for both concerns. One is a new data format, MBF2.0, to compress ILT data by curve expression. The other is inline MPC, or what we call pixel-level dose correction (PLDC). These solutions are not commonly accepted by customers yet, but they will be definitely needed when full-chip ILT is there.

SE: Machine learning is a hot topic. This technology makes use of a neural network in a system, which crunches data and identifies patterns. It matches certain patterns and learns which of those attributes are important. Where does machine or deep learning fit in semiconductor production or mask making?

Nakayamada: At the recent SPIE Photomask conference, we saw presentations from ASML, the Center for Deep Learning in Electronics Manufacturing, and others. They had similar presentations about using deep learning in photomask manufacturing, particularly in anomaly detection, automatic defect classification and even digital twins. Also, you have model tuning. In mask production, there are many process steps involved, such as etching, exposure and resist development. When tuning for such large models, machine learning is very powerful.

Scheruebl: Machine learning definitely opens up a lot of opportunities in the mask industry. Basically, you have data. You can use it for pattern/defect recognition model tuning. If you are using tools like the AIMS, this potentially could be used to make the process easier and the analysis easier for the system.

Fujimura: It’s gaining more interest in the photomask industry. For example, in the deep learning session at the recent SPIE Photomask conference, there were 10 uses of deep learning presented. And then outside of the deep learning session, there were two other papers that were about deep learning. That’s the deep learning type of machine learning. Machine learning is the general category, and deep learning is a part of machine learning. That’s the advanced form of it. Traditional machine learning is important. But deep learning is really the part that’s enabling new kinds of things that we couldn’t program before. We’ll see more of that in the coming months.

Kasprowicz: There are some analytical components to it. From an inspection perspective, you can qualify database inspections or guideline specs. It’s where you can look at maybe programming the ability to detect a defect, then go and look at it, and do it very quickly. And you get some learning on it. All of a sudden, now you have a tool that has some inherent knowledge, so you can go and do things a little bit easier. Certainly you can do some data mining. You can understand what the trends are on things like CD components, inspection components and registration components. You can get some advantages that way.

Nakayamada: Initially, we thought the Six Sigma approach is needed for deep learning applications in semiconductor manufacturing. Recently, we changed our minds. If deep learning applications can provide the initial answer by a 90% confidence level, that is already beneficial. In other words, we are trying to find the field of use where 90% confidence is satisfactory. Still, the data is important. Customers own big data, but tool vendors usually are not allowed to have customer’s data at hand. Therefore, customers have a big advantage over the tool vendor. We see such situations more often where customers know the tool conditions better than the vendor.

Related Stories

Single Vs. Multi-Patterning EUV

EUV Mask Readiness Challenges

EUV Mask Gaps And Issues

EUV, Deep Learning Issues In Mask Making

Curvilinear Full-Chip ILT

What Happened To Inverse Lithography?



Leave a Reply


(Note: This name will be displayed publicly)