The Fill Ecosystem Evolves Again

Fill techniques are evolving with each new process node and a shift-left approach to EDA.

popularity

Several years ago, we wrote about the ecosystem of fill, and how 20nm technology required a much tighter relationship between the foundry, designers and EDA vendors. While the players remain the same, there have been some interesting shifts in fill techniques and usage as designers move to even-smaller technologies.

What continues with each node is the additional complexity of the design flow and fill requirements. It is this complexity that continues to drive the foundry/EDA vendor relationship, and increases the pressure on and involvement of all three members of the fill ecosystem. In a world that just wants things to work, it is clear that the technological challenges, including process variability and design complexity, are driving the members of the fill ecosystem to work together for the common goal of bringing working, high-quality semiconductors to the market place in a timely and profitable manner. We’ll take a look at some of the fill functionality requirements of the latest technologies, then discuss the design flow issues, and how both impact the various members of the fill ecosystem.

Foundry requirements and their impact on EDA vendors
The relationship and interactions between the EDA vendor and foundry need to start early in the process development cycle. The foundry creates the design rules (including the filling rules), honing them to address the challenges of the manufacturing process. For example, design rule checks for pitch, a commonplace check for drawn layers, is now required for fill. Specific front end of line (FEOL) alignment rules are needed for finFET transistors. Back end of line (BEOL) requirements now have voltage- and width-dependent spacing rules. New rules like these put a premium on a tight relationship between the foundry and the EDA vendors.

While the relationship between every foundry and EDA vendor is different, there are commonalities in the needs and requirements that drive these collaborations. In this discussion, I’ll use Mentor and our relationship with the foundries as an example of the typical interactions that occur during process development.

Because of the longstanding collaborations Mentor and the Calibre product teams have fostered with all major foundries, Calibre tools are used during the development and verification of new process rules. The opportunity to work closely with the foundries to provide them with the functionality needed to support new manufacturing and processing requirements means tool development can occur in lockstep with the foundry’s process development. This collaboration has three direct benefits: 1) we can help ensure that new design rules are specified in such a way as to make the rule checks possible, 2) when new functionality is needed, such as color-aware fill, this information is provided to us early in the process, so we can develop a solution in a timely manner, and 3) designers who are developing designs in the new process always have access to tools and solutions that support the latest revision of design rules for that process.

Designers benefit from foundry and EDA vendor collaboration
A popular topic in the design community at present is the “shift left” approach, which encourages the parallel development of what used to be linear design activities during the main design phases. Many in the quality assurance (QA) community are using this concept to identify test criteria so they can start testing sooner in the software development process. Emulation solutions are using it in hardware design to prototype blocks earlier in the design process.

As it relates to fill, the “shift left” concept can be used to reduce a product’s time to market. Fill is traditionally one of the final steps in the design process. However, with the new process requirements for fill, and the additional importance on the regularity of fill, designers who need to find ways to “squeeze” their schedules are adopting a shift left concept for fill. The shift left fill solution (commonly referred to as “fill as you go,” or occasionally as hierarchical fill) calls for designers to run fill earlier in the design flow, as the larger building blocks are completed. This biggest question for designers then becomes selecting which blocks to run.

The rules for block selection are fairly straightforward, including the size of the block and the number of times it is placed. There is no sense in filling every cell, so we recommend looking for blocks that are 1mm x 1mm or larger. One variable designers should always take advantage of is the multiple placements of blocks. The hierarchical fill approach really pays off in this situation. The concept of filling a block once and leveraging the hierarchy reduces both runtime and fill file size, and maintains consistency not only in timing, but also for the downstream manufacturing steps. These are all excellent reasons for using the “fill as you go” approach.

Of course, when designers adopt a “shift left” approach to design, they know there will always be some last-minute engineering change orders (ECOs), which can affect fill that has already been placed. To help implement these design changes quickly and accurately, the EDA vendor must provide an ECO fill solution that identifies where the changes have occurred in the design, and where fill needs to be re-inserted. Two of the driving objectives of an ECO fill flow are to reduce runtime and minimize timing changes due to fill. To achieve both of these goals, the key is to minimize the fill that must be re-inserted to meet the manufacturing requirements from the foundry. The requirements of newer technology nodes further increase the challenge by encouraging designers to make fill as uniform as possible.

At Mentor, we chose to implement our ECO fill solution as a push-button solution that uses the Calibre platform to limit the changes to the fill to the absolute minimum. By doing so, the solution not only minimizes the time needed to refill the modified design, but more importantly, it also limits the timing changes to the nets affected by the ECO changes. Keeping the fill in exactly the same location in areas not affected by the ECO minimizes any timing impact. This base functionality is further enhanced by refilling the design with the original Calibre SmartFill deck provided by the foundry. The foundry still owns the technology-specific rules and validation suite needed to certify the flow, so using the same fill deck the foundry used when it developed the technology gives design teams confidence that the refilled design will meet the foundry’s tapeout requirements.

Summary
Interaction and collaboration between the EDA vendor and foundry are critical to ensuring the development of reliable, easy-to-use fill solutions for the designers. The foundry must communicate its needs and requirements for ensuring manufacturability and performance, and the EDA vendor must provide the functionality that helps designers satisfy the foundry’s requirements. Developing that functionality as a new process is being developed is critical to enabling designers to implement designs in the new process as quickly as possible.

Because of our close relationship with the foundries, and the use of our tools during the process development cycle, we were able to foresee the growing complexity of fill at advanced nodes. We built a solution focused on the fill process, with functionality that is both beneficial to the foundries when developing the fill deck, and to designers when using that deck in the design flow. The required functionality includes detailed spacing checks like pitch checking, as well as multi-patterning color assignments, and finFET fill alignment. Designers benefit from this collaboration because they have early access to a fill deck that complies with the latest fill requirements from the foundry. Extending the fill flow with a push-button ECO fill solution makes it easier for designers to adopt a “shift left” design practice to shorten their time to market.

Foundries and EDA vendors have a vested interest in making it as easy as possible for designers to create designs that can be manufactured quickly and reliably. By working together, they can ensure that solutions and tools are available that help designers create designs that accurately implement the foundry’s fill requirements throughout the design cycle. The fill ecosystem is a critical part of that collaboration, because new fill requirements are increasing the portion of the design schedule needed to complete the fill process. To address this issue, Mentor partnered with foundries to provide solutions that enable designers to begin fill earlier in the design process. Our ECO fill flow also gives designers confidence that late design changes can be accommodated while still ensuring design quality and meeting tapeout schedules. Through their active participation in the fill ecosystem, EDA vendors play a crucial role in the successful adoption of new technology nodes.



Leave a Reply


(Note: This name will be displayed publicly)