The Long Climb: Bringing Through Glass Vias (TGV) To High-Volume Manufacturing

Identify killer failure mechanisms early in the process flow and prevent crack propagation.

popularity

The semiconductor industry is a land of peaks and valleys. It’s a place where each innovation represents the culmination of a long and often difficult climb to the summit. In the case of glass substrates, the peak of the mountain is in sight.

The arrival of glass substrates comes at an opportune time, as the industry eyes new process innovations to meet the incredible demand for high performance applications, like AI, and their stringent requirements, including further decreases in size and pitch for through glass vias (TGV). Up until now, organic substrates employed plated through hole (PTH) type vias, but these will be unable to meet these challenging requirements.

With the advent of glass core substrates replacing organic substrates, various processes hitherto requiring basic printed circuit board (PCB) technology take on a new dimension with significantly greater complexity. This blog discusses the formation of interconnects through the substrate, whether those interconnects are PTH for organic substrates or TGV in glass substrates.

The previous incumbent technology PTH requires the mechanical drilling of holes through a copper clad laminate (CCL) substrate. These holes typically range in size from approximately 0.2mm to 1mm in diameter. Once drilled, the holes are plated using a decades-old electroless copper process. To meet the requirements of advanced packages like AI, PTH will be replaced with TGV technology which is able to provide vias less than 0.1mm and a much finer pitch.

For glass core substrate processing, the most popular method for creating TGVs is to use a laser, combined with a wet etch process. Currently, these vias are generally hourglass shaped; however, some manufacturers are experimenting with cylindrical and V shapes. Once the TGVs have been fabricated, they will be filled with copper to provide electrical connections between the front and back of the glass panel substrate.

Fig. 1: Cross-sectional diagram of glass substrate with through glass vias.

But amid all of the innovations, there are several process challenges, including cracks, scratches, defective vias and more. We will start with the most challenging.

Via critical dimension (CD) control: The CD relationship between the top, bottom and waist controls the sidewall angle which is important in the copper seed physical vapor deposition (PVD) process. Due to the reentrant profile of the bottom side of the TGV, the panel must be flipped in the PVD chamber to guarantee full seed metal coverage. These CDs can be determined with a high-speed automated optical inspection system (AOI) capable of gathering dimensions from millions of vias in less than five minutes. It is worth noting that some manufacturers are using adhesion chemistry to continue the electroless copper seed deposition technology. This can affect CD variation as well.

Missing or defective vias: It is mandatory that 100% of the TGVs be open and defect free. By comparing the TGV locations of the AOI with the computer aided design (CAD) layout, it is possible to find a single missing via in a population of millions, the proverbial needle in a haystack.

Copper plating fill, voids, divots and pimples: With PTH, plating is only required around the walls of the drilled vias. However, the industry is currently moving forward on two different paths when it comes to TGVs. While many manufacturers are opting to completely fill TGVs with copper, others are plating only the sidewalls with metal and then filling the remainder of the TGV with dielectric materials, similar to PTH in organic substrates. Acoustic technology is capable of detecting voids in the fill, while interferometric sensors can determine the topographic nature of the divots and pimples following the chemical mechanical planarization (CMP) of the copper fill overburden.

Cracks and scratches: Cracks and scratches can be present with incoming materials, especially at the panel edge. After the TGVs are filled with copper, thermal cycling can also generate stress cracks within the glass core. This leads to reliability issues. Furthermore, these stresses can cause cracks to propagate without warning at the final steps of the process, negatively impacting yield and final product performance. This is especially problematic as panel thickness is expected to reduce to 200µm.

To gain insight into the failure mechanisms highlighted previously, high-speed, 100% inspection is critical during the R&D phase of the TGV fabrication process. Then, using statistical monitoring of pilot line glass substrates, advanced automatic defect and classification (ADC) software with AI and machine learning algorithms can be used to identify killer failure mechanisms early in the process flow and help prevent crack propagation. This minimizes the costly risk of scrapping panels at the final process steps. In addition to TGV defect inspection, TGV metrology is needed to maintain placement accuracy, roundness and CD control. All critical parameters need to be monitored and controlled to prevent yield loss.

From a defect prevention perspective, automated robotic substrate handling, together with incoming glass panel quality control and glass coefficient of thermal expansion (CTE) matching with the rest of the package components, also plays an important role in bringing glass core substrates closer to HVM readiness.

Tasked with bringing glass core substrates to less than 1.5µm line/space (l/s) has been the work of many process engineers; you might even call it the Mount Everest of challenges for advanced packaging. The question now is, how long will it take glass core substrate engineers to deliver an HVM TGV process with high yield?

At this point in time, there are many who are still on the fence about the need to use glass substrates over traditional organic substrates. In fact, many fabs continue to push organic substrates in the belief that they can be extended to 1.5µm l/s. Regardless, it is inevitable that process control solutions will be needed for all of the challenges discussed in this blog.

Until then, both organic and glass substrates will continue to coexist, with each reaching new technological peaks. Ultimately, the package architecture and/or the manufacturing costs will dictate which technology reaches this new summit first.



Leave a Reply


(Note: This name will be displayed publicly)