Is Multi-Patterning Good for You?

Multipatterning is here to stay. Without it, Moore’s Law scaling would be over.

popularity

I think we can all remember growing up and our parents making us take nasty-tasting medicines, or eat foods we didn’t like, or endure painful things like shots, all under the banner of “It is good for you!” We didn’t like it then, and we still don’t like it as adults. We would all prefer a way to lose weight while eating anything we want, or building strong muscles and aerobic health by watching TV or reading books. While commercials are always promising a magic pill that will let us achieve these goals (for just 3 payments of $29.99!!!), they never seem to live up to the hype. The simple fact is that those ways don’t exist, at least not yet.

Alternatively, we object less to a solution that can be transferred to someone else, even for a price. For instance, many people don’t mind paying a service to clean their houses or mow the lawn. A cost is still incurred, but at least you didn’t have to get up off the couch.

So let’s get to the question of multi-patterning (MP). Bring it up to any design team and be prepared to listen to the moans and sighs of fear and disgust.

“Why do we have to do this?”               “I don’t understand it!”
“Isn’t there a simpler way or some other alternative?”
“This is too difficult!”                         “Can’t the foundry take care of this for us?”

You’d think you had brought up politics or some very unpleasant medical procedure. So what happened? How did we get in this situation? Is this really something we should have to do? The answer (unfortunately) is the same one your parents have been giving you since you were a child. “It’s good for you!”

One thing is for sure, MP is not a one-time blip in the road. Given that our only available scanner technology through at least 10 nm is 193i, we are going to have to deal with much more than simple litho-etch-litho-etch (LELE) double patterning (DP) over the next few years. At SPIE this year, Arindam Mallik and others from IMEC presented on the economic impact of extreme ultraviolet (EUV) lithography on critical process modules. As part of that presentation, they laid out the lithography process assumptions for technology nodes from 28 nm to 7 nm, as shown in Figure 1.

Figure 1: Lithographic process assumptions for N28, N20, N14, N10 and N7 technology nodes [1].

Figure 1: Lithographic process assumptions for N28, N20, N14, N10 and N7 technology nodes [1].

 

As you can see, there are many forms of MP being considered and deployed at various technology nodes, including variations of double, triple (TP), and quadruple patterning (QP). Not only do these techniques bring various new headaches for the designers, they also come with some significant financial costs. Mallik also presented a normalized wafer cost trend in the IMEC presentation (Figure 2).

 

Figure 2: Normalized wafer cost trend – node to node evolution [1].

Figure 2: Normalized wafer cost trend – node to node evolution [1].

 

What may not be clear from all of this data is how much it is saving us. Yes, that’s right. I said “saving” us. As many headaches and as much money multi-patterning incurs, it is still much less than the alternatives right now. I was reading a review of a presentation by Stephen Renwick, Senior Research Scientist at Nikon Research Corporation of America, at the Spring 2014 LithoVision Symposium, entitled “Complementary Options and Their Costs.” I adapted some of his data into a graph (Figure 3) to compare various lithographic options for advanced nodes.

Figure 3: Lithographic cost comparisons [2].

Figure 3: Lithographic cost comparisons [2].

From Stephen’s calculations, it is much cheaper to do DP or TP on 193i than it is to do single patterning on EUV. Of course, that’s not to mention that EUV isn’t ready yet, even if you wanted to use it. In fact, because EUV is not going to be available until maybe 7 nm or 5 nm, the layers that need it most may actually require double-patterned EUV. Yes, you heard correctly. Even when EUV is ready for prime time, we may be using MP with it as well. You can see from the chart that you could probably easily afford 4-6 mask MP on 193i at a similar cost to EUV-DP.

It just seems so unfair, doesn’t it? Designers suddenly have to add all this extra cost and deal with all this extra complexity. However, what you need to realize is that this added complexity has been going on ever since 65 nm. The only difference is that someone else was “eating the broccoli” for you.

We haven’t been able to print our design with available lithographic tools for many technology nodes prior to 20 nm (when DP first showed up). In Figure 4, I put together a trend of the k1 factor (the measure of lithographic printability) by technology node. You can see that the k1 factor drops dramatically by pattern dimension. If it drops below ~0.4, then you can’t print it robustly. EDA companies battled this trend by developing new lithographic tools with smaller wavelengths of light (lambda) and better numerical apertures (NA). But at ~65 nm, we lost the battle.

Figure 4: Lithographic k1 trend by technology node

Figure 4: Lithographic k1 trend by technology node

 

We overcame the limitations of these light sources by using optical proximity correction (OPC) techniques in the foundry. Basically, the foundries have been jumping through hoops for the last few years to modify the layout you drew so it could be printed. It just doesn’t hurt as much when someone else is doing the work for you. But don’t be naïve; you were paying for all that effort in extra wafer costs for all those technology nodes.

EDA-based pattern manipulation software techniques have been ubiquitous on the manufacturing side of the house for many process generations. And, just like MP is undergoing a migration in complexity from double to triple to quadruple patterning, etc., OPC has experienced a continual increase in complexity since it was first deployed. Here is a list of various OPC techniques that have been developed and deployed over time.

  • Rule-based OPC
  • Model-based OPC
  • SRAF insertion
  • OPC verification
  • Hardware Simulation
  • Source Mask Optimization (SMO)

You can also see from Figure 4 that at ~0.2 on the k1 scale, OPC alone is not enough to compensate for the loss of resolution. That is where MP kicks in. It’s just another trick to keep Moore’s law moving forward, only this time the designer has to deal with the pain more directly.

Figure 4 also shows us that, depending on the technology node at which EUV becomes available, it will also need MP, and already requires some serious OPC correction. The bottom line is that MP is probably here to stay, and we should all be thankful, even if we don’t like the taste very much. Without it, Moore’s Law would be over, and we wouldn’t be able to look forward to our next phone or tablet with cool new features.

In my next blog, I will talk about the various tradeoffs that you need to consider when you start implementing multi-patterned layouts.

 

 

 

 

References

[1] Arindam Mallik ; Naoto Horiguchi ; Jürgen Bömmels ; Aaron Thean ; Kathy Barla ; Geert Vandenberghe ; Kurt Ronse ; Julien Ryckaert ; Abdelkarim Mercha ; Laith Altimime ; Diederik Verkest ; An Steegen; The economic impact of EUV lithography on critical process modules. Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90481R (April 17, 2014); doi:10.1117/12.2046310.
[2] “Complementary Options and Their Costs;” Stephen Renwick; LithoVision Symposium; Spring 2014.



Leave a Reply


(Note: This name will be displayed publicly)