The Week In Review: Manufacturing


Intel announced two new technologies for foundry customers. One technology, dubbed Embedded Multi-die Interconnect Bridge (EMIB), is available to 14nm foundry customers. Instead of an expensive silicon interposer with TSVs, a small silicon bridge chip is embedded in the package, enabling high density die-to-die connections only where needed. EMIB eliminates the need for TSVs and specialized int... » read more