Inside Photomask Writing

What technologies will be used at 5nm and why.

popularity

Hirokazu Yamada, a board member and the director of the Mask Lithography Division of NuFlare, sat down with Semiconductor Engineering to discuss photomask technology, e-beam mask writer trends and other topics. NuFlare is the world’s largest supplier of e-beam mask writers. What follows are excerpts of that conversation.

SE: How does the photomask market look in 2017?

Yamada: NuFlare’s tools target the leading-edge mask manufacturing processes. With the delay of EUV and the slowing of the technology node introduction cadence, NuFlare is expecting a slightly down year in 2017.

SE: What about capital spending in the photomask equipment sector?

Yamada: For leading-edge mask lithography CapEx, we think the near future will be flat to slightly higher.

SE: Let’s move to the technology. NuFlare sells single-beam e-beam tools for use in patterning or writing the tiny features on a photomask. These mask writer systems are based on variable shape beam (VSB) technology. Now, NuFlare is readying two new mask writer tools. First, the company is developing a new multi-beam mask writer, which is targeted for the 5nm node. Second, NuFlare has announced a new VSB tool that’s targeted for 5nm. Let’s start with the VSB tool. What’s that’s about?

Yamada: To extend the application space of the proven VSB architecture, NuFlare has developed a new model, called the SRM-1000, which increases the allowable dose per pass to a level that it can achieve the same resolution and dosing as the multi-beam system with advantageous write times for more layers. For example, the SRM will have better write times for layers having up to 0.5T shot per pass with a 75µC/cm2 resist, while achieving the same resolution as a multi-beam system. In these conditions, the SRM will have a write time of approximately 12 hours.

SE: What else does the SRM-1000 bring to the party?

Yamada: The SRM-1000 expands the VSB application space. We believe that we can extend our VSB architecture to cover more of the 5nm node than we had previously thought.

SE: Mask complexity is increasing at each node. This, in turn, impacts mask write times, at least for the most complex photomasks at the leading edge. To address those issues, NuFlare has introduced a new and faster VSB tool at each node. Each VSB tool has a higher current density. The existing VSB tool, the EBM-9500, is aimed for the 7nm node and has a current density of 1,200A/cm2. What about the SRM-1000?

Yamada: Previously, we were increasing the current density to keep the same writing time, even though the patterning size is shrinking. But this time, we will introduce a new technology to get high throughput and high accuracy. With this new technology, our customers can expect the same pattern fidelity as multi-beam at the same current density as the previous VSB tool.

SE: What other market is the SRM-1000 targeted for?

Yamada: In addition to mask lithography, the SRM-1000 also extends the application space for nanoimprint lithography, where resolution with reasonable write times is required.

SE: Let’s move to multi-beam. Multi-beam mask writers promise to speed up the write times for the most complex masks. What’s the status with your multi-beam program?

Yamada: Our MBM-1000 is currently in the integration phase with our alpha and beta tools. We are on track for a general release to the market in Q4 2017, while our development partners will take earlier deliveries.

SE: What are the big challenges to develop multi-beam technology?

Yamada: One of the challenges is the in-line real-time data path. Normally, the multi-beam data path requires a lot of pre-processing. It takes a long time before writing. Our system simultaneously processes the data and writes the pattern to shorten turnaround time. The other challenge is precise dose control to improve edge placement control.

Screen Shot 2017-01-18 at 9.49.18 AM
VSB vs. multi-beam. Source: NuFlare.

SE: Last year, Intel acquired IMS Nanofabrication, a developer of multi-beam mask writers. IMS recently launched a multi-beam mask writer, which is targeted for the 7nm node. Is NuFlare behind in the multi-beam mask writer market?

Yamada: We are behind. But we will catch up with them by the end of the year.

SE: At some point, NuFlare’s customers will have a choice between VSB tools and multi-beam mask writers. So how do customers decide?

Yamada: We believe that there will be a general need for the multi-beam architecture at the 5nm node. Our EBM and SRM products can sufficiently cover the 7nm and 5nm node requirements, respectively. For our aggressive customers, there is a potential application for the multi-beam architecture at the 7nm node.

SE: Are there any other considerations?

Yamada: There are two main drivers to mask write times for VSB architectures–shot count and dose requirements. The multi-beam architecture write time is dependent on write area, but independent of shot count. There is a crossover point where write times would favor one architecture or the other. For the 5nm node, we see that there will be a mix-and-match lithography strategy and both architectures can be utilized.

SE: So, mask makers will continue to use VSB for the foreseeable future. And it also sounds like photomask makers will use both VSB and multi-beam in the mask shop. It depends on the application, right?

Yamada: The VSB architecture excels in writing layers with relatively fewer shot counts, whether it be from layer pattern density, multi-patterning techniques or by elegant circuit design. The multi-beam architecture is best for masks with very heavy shot counts like ILT and curvilinear designs or where a single wafer layer is expressed with a single EUV mask.

SE: Extreme ultraviolet (EUV) lithography and full-chip inverse lithography technology (ILT) have been in the development phase for years. When will EUV and full-chip ILT happen?

Yamada: The general trends in both optical OPC/ILT and EUV are that things are accelerating. Nobody can predict the amount of aggressiveness that either solution will implement at any given time, but we are confident that we have a mask lithography solution that will optimize all of our customer’s needs.

SE: Generally, there is a finite number of R&D dollars in the equipment industry. Yet, NuFlare has two mask writer technologies in the works—the SRM-1000 and the MBM-1000. Can mask equipment makers like NuFlare afford to develop two technologies at the same time?

Yamada: We are developing a multi-beam mask writer for the 5nm node. This is our first generation. The R&D cost is relatively higher than before. However, NuFlare can offer mask lithography solutions for the 5nm node and beyond, even as the market is becoming split between VSB and multi-beam, where NuFlare has carried out two R&D/development programs. We’ve completed the majority of multi-beam development and the future required R&D can be sustained.

SE: NuFlare recently announced plans to develop an e-beam inspection tool. Can you talk about your e-beam inspection program?

Yamada: NuFlare and NGR are collaborating on a development program for the next-generation electron-beam wafer inspection and metrology system targeting sub-10nm devices. This program is based on our experience with electron-beam lithography, optical mask inspection and metrology expertise.

SE: Is this a single-beam or multi-beam inspection tool? What is the target market with the tool?

Yamada: At this moment, we are developing a single-beam system with NGR and using NGR’s technology. Of course, we need to consider a multi-beam inspection system. But we have not decided on that. This inspection system is not for masks. It’s a wafer inspection system.

SE: How about mask inspection?

Yamada: For mask inspection, the industry hasn’t funded e-beam inspection development.

SE: What’s next for NuFlare?

Yamada: Our focus right now is primarily on the successful introduction of the SRM-1000 and multi-beam tools. We are very confident in our ability to rapidly introduce both platforms as we have all business systems in place, including engineering, quality, sales and service organizations and infrastructure.

SE: What else?

Yamada: One emerging new growth market is in China, where they have announced their intention for large investments in the semiconductor and mask making sectors. We expect to be able to participate in that growth. Beyond executing our current roadmap and entering the China market, we are always looking at other potential new markets, both internally as well as in collaboration with others.

Related Stories
Deploying Multi-Beam Mask Writers
Multi-Beam Market Heats Up
What Happened To Inverse Lithography?
More EUV Mask Gaps
Multi-Patterning Issues At 7nm, 5nm



Leave a Reply


(Note: This name will be displayed publicly)