Multi-Beam Mask Writing Finally Comes Of Age

IMS’ chief executive talks about why multi-beam e-beam is an essential companion tool for EUV.

popularity

Elmar Platzgummer, chief executive of IMS Nanofabrication, sat down with Semiconductor Engineering to discuss photomask and mask writing trends. IMS, a subsidiary of Intel, is a supplier of multi-beam e-beam systems for photomask production. What follows are excerpts of that conversation.

SE: For years, photomask makers have used single-beam e-beam tools to pattern or write the features on a photomask. These tools are based on variable shaped beam (VSB) technology. In operation, the electrons from the tool are fired in shots, which pattern the mask in rectangular-like shapes. What are the challenges in VSB mask writing at advanced nodes?

Elmar Platzgummer, chief executive of IMS Nanofabrication

Platzgummer: With scaling, the feature sizes go down. That means the shot numbers go up. That’s a simple explanation. Additionally, the jogs, optical proximity correction (OPC) and inverse lithography technology (ILT) patterns get very complex. For one rectangular via you need all kinds of circular patterns, so you get additional features that are not even printed on the wafer. They are just helping the imaging of the stepper. This is another dimension where the pattern scales, so the shot number goes up further. But I would say the number one killer is the higher dose needed. On top of more shots, you need more dose. And single-beam has a dose limitation and a shot limitation. For dose, you need a certain duration per shot. So you fill up the dose you need to deposit. As this dose goes up, the writing speed goes down.

SE: This is where IMS’ multi-beam mask writer fits in. Using thousands of tiny beamlets, multi-beam mask writers can pattern or write advanced masks at a faster rate compared to VSB-based tools. So, multi-beam is needed for advanced optical masks and EUV masks, right?

Platzgummer: When we discussed this topic with end users about two or three years ago, there was already an opinion that multi-beam was needed very soon.

Fig. 1: IMS Nanofabrication’s multi-beam tool. Source: IMS

SE: Multi-beam mask writers are faster than VSB tools, but single-beam tools are still being used and have been extended to advanced nodes. What happened?

Platzgummer: At that time, there were some favorable developments that extended the life of VSB. People introduced multi-patterning, where the shots were separated in more or less several masks. So the mask write time didn’t go up exponentially, as people had predicted. Actually, it went down, because people printed four plates and just counted the time for a single plate, and not 4X. And EUV, on the other hand, was delayed. So in my mind, this is why VSB has had a longer lifetime than we expected a few years ago. But this seems to be at the end now.

SE: So what’s happening now?

Platzgummer: Now, EUV is coming. And even for multi-patterning masks, the patterns are becoming so complicated with ILT and similar patterns. VSB with the Manhattanized writing patterns is at the end of the possibilities. It’s a paradigm shift.

Fig. 2: Extremely Complex Mask Patterns for sub-10nm Mask Nodes. Source: IMS

SE: Technically, you can still use VSB for an advanced optical mask, say at 7nm, right?

Platzgummer: For layers with a low pattern density, it’s more favorable. But for dense layers, the write time becomes like 30 hours or even higher. We don’t know the exact write times, but I hear it’s very high. It’s going up further. At some point, it’s like 60 hours. Then, the write times are no longer practical, because there are other effects like the aging of the resists and other instabilities coming in. So, you need to print a mask in a certain time, let’s say in two days. Otherwise, there are too many disadvantages.

SE: Doesn’t this impact mask turnaround times? (Turnaround times are the duration to produce and ship a mask.)

Platzgummer: Turnaround times are a completely different challenge. For a new chip, you might have 50 to 100 masks. You need to print all of them within a certain time to make your chip. And if you have some re-design requirements, then you need to go back to the start or print many layers again. That makes write times even more critical.

SE: It sounds like photomask makers will use both VSB-based single-beam tools and multi-beam mask writers for the foreseeable future, right?

Platzgummer: For the next two or maybe three years, VSB tools will be in use. But this market will go down.

SE: Two years ago, IMS announced its multi-beam mask writer. Is the market adopting multi-beam technology?

Platzgummer: Every tool that is focused for the next year is pretty much sold. We are fully booked.

SE: Can you describe IMS’ system?

Platzgummer: It has 262,144 beams. It has one source. One of the key elements is a MEMS component that forms the beam array. It allows the switching of the beams. There are many corrections and algorithms in the tool that we don’t disclose. But we’ve recently showed two types of corrections. The performance is almost 2X better with those corrections.

SE: Can you describe these corrections?

Platzgummer: One is thermal expansion. This correction, which to some extent is already used today in VSB tools, needs to be more accurate and dynamic. This is where we have real-time modeling. We can take out any heating signature that can happen.

SE: IMS has also talked about a correction called beam inhomogeneity, right?

Platzgummer: This ensures every beam of the array is the same in terms of what it does to the substrate. You don’t want to have any corner or area that behaves differently than the other parts. Physically, the beams may be different, because the optics, of course, may have a different behavior. We compensate this by 100%, so the writer doesn’t have any edge effects.

SE: So it solves the variation issues?

Platzgummer: Exactly. It addresses any kind of variation—dose, location and blur.

SE: With IMS’ tool, any type of mask can be written in 12 hours, right?

Platzgummer: For the maximum die size that is typically printed, this is 12 hours. It can be less. It depends on certain adjustments or settings. You can go two pass or four pass. All in all, the average is 12 hours.

SE: IMS will soon have some competition. NuFlare, which leads in single-beam, VSB-based mask writers, is developing a multi-beam tool. Any thoughts?

Platzgummer: The competition will come at some point.

SE: Multi-beam mask writers are required for EUV masks, right?

Platzgummer: It’s a must for EUV. As soon as EUV goes into a production mode, you need multi-beam. If you want to use the imaging capability of EUV, you need to have an excellent mask with very small and accurate mask features. For R&D, you can invest more in write times or go with simpler structures. Then you can use VSB. But for a production full-layer EUV mask, I don’t know anyone that seriously wants to have VSB.

SE: There is also more ILT on advanced optical masks. What’s the best writing strategy here?

Platzgummer: When it comes to ILT, for example, multi-beam is also a must. So whenever you need any angular structures, curvilinear or any type of complex pattern, you need multi-beam.

SE: There are some indications that the first EUV masks will not use sub-resolution assist features (SRAFs). An SRAF modifies the mask patterns to improve the printability on the wafer. If so, can you use VSB instead of multi-beam for EUV masks?

Platzgummer: I don’t know what types of patterns our customers are writing. That question could be addressed by end users. But even without SRAFs, the shot count and dose would make a VSB tool too slow.

SE: Where are we with EUV lithography in general?

Platzgummer: I sense a strong optimism that EUV is coming. It’s very expensive, so it’s limited to a few companies that really drive the leading edge. There are three big ones. It’s unclear how many others will jump on this train.

SE: What are some of the challenges in EUV mask writing?

Platzgummer: There are some additional capabilities needed. For example, one needs to correct for the short-range scattering as the EUV layer stack causes some additional backscattering. That needs to be corrected. It’s similar to the existing correction, but it needs to be implemented and calibrated.

SE: In EUV mask blanks, the defects are situated below the absorber. So the mask writing tool must avoid the defects and perform so-called pattern shifting techniques. That’s another challenge, right?

Platzgummer: The pattern is not just printed on the glass. It needs to be aligned with respect to the glass defects that come from blank production. This means you need to shift and even rotate in some cases the pattern to work around the dark spots of the glass.

SE: Can you use multi-beam mask writers for 28nm or 14nm photomasks?

Platzgummer: We can cover all of the nodes very effectively. The VSB tool is tuned for the leading edge. For the relaxed nodes, because of the different dose and shot sizes, it really doesn’t bring that much benefit. Our tool has versatility. You can use multi-beam for the relaxed nodes faster and at a higher accuracy. I can also use the same multi-beam tool for 7nm or 5nm. Originally, I thought that multi-beam is only an advantage for the merchant mask shops. They want to have the utilization of the tool for all kinds of products. But it may also turn out to be a big advantage for the captive mask shops.

SE: Multi-beam can write a 28nm mask in four hours, right?

Platzgummer: VSB is also fast for that node. But I would say we have a 2X to 3X advantage in throughput. The bigger advantage is you have one tool for different applications, so you don’t need the floor space in your fab.

SE: Another interesting market is nanoimprint. DNP is using multi-beam mask writing to pattern the templates for nanoimprint.

Platzgummer: DNP’s results for template manufacturing are interesting. They are using our tool.

SE: What are your big worries going forward?

Platzgummer: We have a lot of ideas that we want to realize. What keeps me up at night is, what is the best plan to implement the next steps. We are developing the next-generation system. We still have some upgrades for the existing generation. We will leave the EUV challenges to the EUV vendors and customers. Even if EUV is delayed or accelerated, we still need to deliver a reliable mask writer in significant quantities. The growth is maybe my biggest challenge.

Related stories:

Next-Gen Mask Writer Race Begins

EUV Pellicle, Uptime And Resist Issues Continue

EUV’s New Problem Areas



Leave a Reply


(Note: This name will be displayed publicly)