One-on-One: Naoya Hayashi

Dai Nippon Photomask’s research fellow talks about rising challenges for the photomask industry. What happens when it takes 50 hours to write a mask?

popularity

Semiconductor Engineering sat down to discuss the current and future challenges in the photomask industry with Naoya Hayashi, research fellow at Dai Nippon Printing (DNP).

SE: What are the big challenges for the photomask industry today?

Hayashi: There are several challenges. Most of the challenges involve mask complexity. It is also quite difficult to handle the mask data, because it is becoming huge. Also, mask shot count is increasing. So, the mask writing times are increasing. Also, because the single exposure options like EUV are a little bit delayed, we have to extend optical lithography with multiple exposures. That means the customer needs many masks for one layer. Based on Sematech’s recent survey, for example, people are going to use more than 70 masks per set for leading-edge nodes. The maximum is about 100. We have to make so many masks at the same time, but the writing time is much longer. So the key is throughput. And also this complexity will impact the inspection/metrology area as well. The mask features are very complex and it’s quite difficult to inspect the defect or measure the CD.

SE: Can you elaborate on mask complexity?

Hayashi: Very aggressive OPC uses assist features. They are quite small features. So, we have resolution challenges for the mask. Also technologies like source-mask optimization, or inverse lithography, are going to use curved linear features. So it’s not straight lines, but rounded lines. Currently, we are using the mask writing system to use square shots. So it’s quite difficult to make curved linear features with smaller shots. That will also increase shot count to make curved linear features. That adds to the complexity.

SE: How can the industry speed up write times for the single-beam e-beam?

Hayashi: One of the approaches is to increase the electron-beam density. So, you can speed up the shots. But of course, electron-beam density has kind of a limitation, because of space-charge effect types of things. So, that’s why the industry is thinking about multiple beams to accelerate the write times.

SE: Any other ideas?

Hayashi: Because the shot count is increasing, D2S has good technology to reduce the shot count with the existing tools. Of course, we are for waiting for multi-beam. But still, we have many single-beam systems. We would like to increase the productivity of those tools. In that case, D2S’ technology is very effective to improve the productivity.

SE: When will the e-beam run out of gas?

Hayashi: The 14nm node is already qualified with a single beam system. So people will use a single beam system for the 14nm node. And currently, mask makers are going to develop 10nm node masks with single beam. But we think the production stage of 10nm, and also the 7nm node, will use the multiple beam approach. The most aggressive multiple beam release timing is maybe next year.

SE: What are the average write times for single beam e-beam?

Hayashi: It depends, of course, on the pattern density, but the maximum is over 50 hours. That’s more than two days. The average is between 15 to 20 hours.

SE: What are the proposed write times for multi-beam mask writers? And what is the current status of IMS Nanofabrication’s multiple-beam mask writer?

Hayashi: As I said, a very complex mask will take more than two days with a single-beam system. The write times for the next-generation multiple beam writer is targeted for 10 hours. So far, IMS has shown a basic architecture with a proof-of-concept system. They have already presented some results with the proof of concept system. That system makes use of 260K beams to write. Of course, there are challenges. Position accuracy, CD control and data processing will be needed to reach that target of 10-hour write times. But the writing strategy concept has already been proven with the proof of concept system.

SE: I believe NuFlare is also developing a multiple beam mask writer. What’s the status on that project?

Hayashi: I can’t comment on their strategy. NuFlare has their own strategy to increase the density in order to reduce write times. They have already released the EBM-9000 single-beam system. They improved the throughput, as compared to the previous one by 30% or 40%.

SE: What are the other challenges in the mask shop?

Hayashi: Mask complexity also impacts inspection and repair. As I said, if the features on the mask are curved linear, it may be difficult to repair. We have to make a curved etch with a repair system. Also, in the mask inspection area, we need die-to-database inspection. In that case, such kind of inverse database processing is quite long. In addition, we also need multiple inspection steps. We need to inspect the mask just after the process, and also after the repair, and also after the pellicle attachment. So maybe we have to use the inspection system two or three times in one mask. So that cost is multiple.

SE: Any comment on multiple patterning and the mask?

Hayashi: In the self-aligned double patterning case, the customer uses just one critical layer. And also they need a cutting mask. But the cutting mask does not have such complex features.

SE: Where is photomask production in terms of nodes today? And can you discuss mask costs?

Hayashi: Currently, mask production is at 28nm. 20nm is now qualifying and starting to ship. And 14nm is in the development state. 10nm is in R&D. Mask costs are difficult to quantify. Historically, from node-to-node, mask costs increase 70% to 80%. But, of course, year-to-year, mask costs go down because of depreciation costs. Yield also goes up. So production costs decreases as well as mask costs.

SE: What role do merchant and captive mask shops play in today’s environment?

Hayashi: Captive mask shops are trying to make the most advanced masks. Technology-wise, we drive to follow the captive mask shops. We are also business continuity partners with them. Then, there are many customers who do not have an in-house mask shop. We also do business with those customers.

SE: Foundries such as TSMC have their own mask shops. TSMC positions its mask operation as part of a turnkey service. Do they have an advantage over the merchant mask vendors?

Hayashi: I agree that a foundry that has a captive mask shop has the ability to optimize the mask specifications with their litho people. But the merchant mask shop is only aimed at logic foundry customers. There are also memory and other types of customers. We are very flexible to enable a mass production line for many types of customers. So, some of the captive mask shops focus on very specific products. We believe the merchant model works for various types of devices.

SE: DNP is quite involved in nanoimprint, right?

Hayashi: Imprint has some challenges like defect control. But some devices can waive the defects to some level. So this type of lithography can be used for specific devices. For some time, DNP has been working on nanoimprint templates. We have successfully developed the process to replicate the templates, but the master templates take a long time to make. Now, we are going to make replicated templates for cost reduction applications. We have concentrated our efforts to develop such a process. Currently, we have achieved almost all of our targets on replicated templates, including the defect specifications. We’ve had good progress there.

SE: Nanoimprint has seen some traction in NAND flash, right?

Hayashi: That’s right. Some types of memory have redundancy. Such kinds of devices are the first step.

SE: Do you think optical lithography will extend “forever” as a means to pattern the critical layers in leading-edge devices? Or will chipmakers use optical and an NGL?

Hayashi: I see optical plus another technology, such as EUV cutting and electron-beam cutting or DSA. Optical has the highest productivity. It is very mature.

SE: What is your take on the readiness of EUV masks?

Hayashi: In one panel at SPIE, the discussion was very interesting. It dealt with the readiness of the pellicle. Litho people want to have a pellicle for EUV. Still, the pellicle for EUV is not ready yet. Of course, there is the pellicle itself. And also there is an issue in terms of how to inspect the mask after the pellicle is attached. In that case, we need an actinic inspection system. That presents a tool infrastructure challenge.

SE: What about EUV mask blanks?

Hayashi: We may not be able to get defect-free EUV mask blanks. So we should have some kind of mitigation method to eliminate the residue defects on the blanks. That is still a challenge. Still, the defect number itself has been quite reduced, like a one-digit number. Not 100 or 20. Now, it’s about 7 or 8 defects per plate. In that case, we can mitigate such types of defects on the mask.

SE: For some time, the industry has been talking about high NA EUV. This might require the development of a new mask size. Any thoughts?

Hayashi: Maybe this will be necessary for device manufacturers at some point. But as you are aware, the mask industry size is quite small. There are not so many players there. So, there will be an infrastructure challenge.

SE: Any thoughts on DSA?

Hayashi: Basically, DSA does not influence the mask itself. But, of course, that’s an interesting technology for scaling. We are watching that technology in terms of how we apply that to mask making. If the customer needs very small features on the mask, maybe DSA will be applicable even for mask making.



Leave a Reply


(Note: This name will be displayed publicly)