Reflecting On The SPIE Advanced Lithography + Patterning Symposium 2025

High-NA EUV makes progress toward high-volume manufacturing while optical lithography sees continued advances.

popularity

The mood at this year’s SPIE Advanced Lithography + Patterning Symposium was decidedly upbeat. The outlook for business is good, due in large measure to expectations of high demand for chips, driven by artificial intelligence (AI). To realize the potential of AI, increases in chip performance and efficiency are needed, which, in turn, requires advanced patterning. In the Symposium’s technical sessions, solutions (or at least reports of solid progress towards solutions) were presented for many of the technical challenges that have been of concern, so it looks like the demand for leading-edge technology will be met. All of this contributed to an optimistic atmosphere at the symposium.

A large fraction of the technical papers were oriented toward various aspects of high-NA EUV lithography. Several presenters showed data from recently installed high-NA exposure tools, demonstrating good quality patterns at 20 nm pitch (lines/spaces), with promising performance down to 16 nm pitch. Resist materials are improving to support lithography at these smaller dimensions. Multiple speakers described new metal-containing positive-tone resists, complementing the negative-tone metal-oxide resists now being used in high volume manufacturing and, by increasing the resist’s optical absorption, could keep exposure doses from becoming excessively high.

One of the anticipated problems with high-NA EUV lithography is a small depth-of-focus. In presentations on resolution enhancement technology for high-NA EUV lithography there was considerable emphasis on maximizing depth-of-focus, with a reasonable degree of success achieved. All in all, it seems that high-NA EUV lithography is progressing well and could be ready for high-volume manufacturing in another year or two. Several papers discussed hyper-NA EUV lithography as a potential future technology.

For some technical issues, although not considered as showstoppers, more progress is needed. For example, greater transmission and lifetime are still needed before EUV pellicles will be widely adopted for use in high-volume manufacturing. Fortunately, improvements in actinic patterned mask defect inspection were presented, and ASML reported an order-of-magnitude reduction in the rate of mask contamination in exposure tools over the past five years.

Every year during the Advanced Lithography and Patterning Symposium, the eBeam Initiative hosts a lunch event for members and industry luminaries. A speaker at the lunch event, Dr. Frank Abboud of Intel, provided an optimistic outlook for large format “6×12” EUV masks, the use of which would obviate stitching and greatly enhance exposure tool throughput. He said that several companies who make equipment or materials for making EUV masks will support this new mask format. He also stated that it will be important that many tools have the flexibility to support both “6×12” and the current format.

Curvilinear masks continued to be a hot topic, with ongoing progress to bring this technology closer to readiness for high-volume manufacturing. For example, Paris Spinelli of Micron presented an interesting paper on Pixel-Level Dose Correction (PLDC), a capability that performs linearity and uniformity correction for masks inline while the multibeam mask writer is writing the mask, with no additional runtime required and no need for additional offline computations for mask process corrections (MPC), even for reticles that are 100% curvilinear patterns.

In addition to many papers on various aspects of EUV lithography, there were a number of presentations on continuing advances in optical lithography. Advanced packaging, patterned with optical lithography, has become a core technology for high-performance computing. Although feature sizes for advanced packaging are still ~1 mm, there are nevertheless many patterning challenges associated with packaging, and these are being addressed. A number of problems, such as large substrates, pattern placement, and substrate warpage (both in-plane and out-of-plane), can potentially be addressed by direct write lithography, and there were several presentations given on direct write technology at this year’s symposium. Looking beyond advanced packaging was a thought-provoking presentation by Prof. Hank Smith (this year’s recipient of the SPIE Frits Zernike Award in Microlithography!) on a proposal for direct write lithography with a wavelength of 4.5 nm, shorter than the wavelength used currently for EUV lithography.

There were also a number of presentations from people trying to squeeze out the last bit of performance with conventional step-and-scan lithography. One approach is to use inverse lithography technology or source-mask optimization, which can benefit lithography across a wide range of wavelengths and numerical apertures, and there were several papers describing newly identified benefits and further advances. Optimal solutions using these techniques involve curvy features, and several presentations were given that addressed enablement of curvy patterns on the mask or even in wafer layouts.

Accompanying forecasts of widespread use of AI are projections of large increases in electricity consumption, and several speakers mentioned environmental concerns. There were also a number of presentations that focused specifically on aspects of lithographic technology related to the environment. In a keynote presentation, Dr. Emily Gallagher of imec gave an informative overview of recently developed methodologies for measuring quantitatively the environmental impact of manufacturing processes. Engineers now have useful new tools for addressing the environmental aspects of lithographic technology.

There was an entire session dedicated to pre- and polyfluoroalkyl substances (PFAS) in lithography, where attendees could learn about new PFAS-free lithographic materials. For example, it was long thought that it would be very difficult to formulate top anti-reflection coatings (TARCs) that are PFAS-free, yet data on a new TARC for KrF lithography were presented, showing performance perhaps even exceeding that of PFAS-containing TARCs. There were also several papers on PFAS-free resists.

Some of the technological advances described at AL+P did not explicitly claim to reduce the environmental impact of lithography, but that will nevertheless result. For example, one paper described improvement in the peak reflectivity of the multilayer mirrors used in EUV optics from 69.5% to 71.2%. This may appear to be a small improvement, but because light is reflected multiple times in EUV exposure tools, this increase in mirror performance will lead to ~20% more light reaching the wafer, thereby reducing the amount of electricity needed to expose a wafer by approximately the same amount. Improving efficiency and productivity are such normal parts of engineering and business that we don’t often connect such improvements to their impact on the environment.

A lot of good information was presented this year during the plenary sessions and six conferences comprising the Advanced Lithography + Patterning Symposium. With parallel sessions it was impossible to attend all presentations, and I look forward to reading the proceedings papers for those I missed.



Leave a Reply


(Note: This name will be displayed publicly)