Home
TECHNICAL PAPERS

Server Design With Pin-Efficient CXL Interface (Georgia Tech)

popularity

A new technical paper titled “A Case for CXL-Centric Server Processors” was written by researchers at Georgia Tech.

Abstract:
“The memory system is a major performance determinant for server processors. Ever-growing core counts and datasets demand higher bandwidth and capacity as well as lower latency from the memory system. To keep up with growing demands, DDR–the dominant processor interface to memory over the past two decades–has offered higher bandwidth with every generation. However, because each parallel DDR interface requires a large number of on-chip pins, the processor’s memory bandwidth is ultimately restrained by its pin-count, which is a scarce resource. With limited bandwidth, multiple memory requests typically contend for each memory channel, resulting in significant queuing delays that often overshadow DRAM’s service time and degrade performance.

We present CoaXiaL, a server design that overcomes memory bandwidth limitations by replacing all DDR interfaces to the processor with the more pin-efficient CXL interface. The widespread adoption and industrial momentum of CXL makes such a transition possible, offering 4× higher bandwidth per pin compared to DDR at a modest latency overhead. We demonstrate that, for a broad range of workloads, CXL’s latency premium is more than offset by its higher bandwidth. As CoaXiaL distributes memory requests across more channels, it drastically reduces queuing delays and thereby both the average value and variance of memory access latency. Our evaluation with a variety of workloads shows that CoaXiaL improves the performance of manycore throughput-oriented servers by 1.52× on average and by up to 3×.”

Find the technical paper here. May 2023.

Authors: Albert Cho, Anish Saxena, Moinuddin Qureshi, Alexandros Daglis. arXiv:2305.05033v1.
https://doi.org/10.48550/arXiv.2305.05033



Leave a Reply


(Note: This name will be displayed publicly)