The Week In Review: Manufacturing

UMC enters 22nm biz; Lam, KLA results; 5G; M&A slowdown.

popularity

Chipmakers
UMC has generated sales from its recently-announced 14nm finFET technology. The foundry vendor also plans to enter the 22nm process technology market. UMC will join other players in the 22nm arena, such as GlobalFoundries, Intel and TSMC. “So we do have a plan to introduce our 22nm as well and it will be available around 2018,” said Jason Wang, the newly appointed co-president of UMC, in a conference call to address the company’s results.

UMC also posted its results in the quarter. Second quarter revenue was up from the previous period and a year ago. Wang added: “Stable chip demand led to a utilization rate of 96%, bringing wafer shipments to 1.74 million 8-inch equivalents. We experienced robust demand for our mature technologies on both 8- and 12-inch manufacturing, led by strength in the computing and communication segments.”

Samsung Electronics posted its results. It saw strength in both the memory and foundry businesses. ‘’Samsung avoided providing 2017 capex guidance once again; however, the company stated the obvious: that capex should be up ‘significantly’ over 2016. We model Samsung capex up 54% in USD in 2017, compared to 2016,” said Weston Twigg, an analyst with KeyBanc Capital Markets, in a research note. “The company confirmed what we’ve highlighted in past notes: that it is considering converting some planar NAND capacity to DRAM and that it continues to expand 3D NAND capacity at its Pyeongtaek plant. Samsung also noted that it plans to convert line 11 from DRAM to image sensors, beginning in 2H, and that it is increasing its 10nm foundry capacity significantly. We are leaving our 2017 Samsung capex estimate unchanged at 20 trillion KRW, or $17.6 billion USD.”

Fab tools
Lam Research posted strong results for the quarter ended June 25. “Demand trends in our key end markets have improved, and we are on track once again to outperform overall industry growth in calendar 2017,” said Martin Anstice, Lam Research’s president and chief executive.

“Overall, industry WFE spending is now tracking above the high end of the previous range we provided,” Anstice said in a conference call. “Demand for 3D NAND equipment continues to be robust with customers executing their plans for increasing layer counts and improving device densities.”

KLA-Tencor posted its results. KLA-Tencor reported GAAP net income of $256 million and GAAP earnings per diluted share of $1.62 on revenues of $939 million for the fourth quarter of fiscal year 2017. For the fiscal year ended June 30, 2017, the company reported GAAP net income of $926 million and GAAP earnings per diluted share of $5.88 on revenues of $3.5 billion.

Applied Materials has announced that Dan Durn will join the company as senior vice president on Aug. 7. He will assume the role of chief financial officer on Aug. 24. Durn is currently chief financial officer of NXP. He will replace Bob Halliday, who will remain with the company to support a transition and move to a new role focused on business development. Halliday is planning to retire towards the end of 2018.

Three U.S. universities are the latest recipients of funding from the Nano-Bio Manufacturing Consortium (NBMC), operated by SEMI. NBMC’s mission is to further the development of human performance monitoring (HPM), thereby broadening the use of advanced electronics in this highly anticipated application space. Among other applications, HPMs are expanding the fast growing wearable electronics markets.

Test and packaging
National Instruments (NI) has announced multiple antenna user equipment (UE) support for its LabVIEW Communications MIMO Application Framework. The MIMO Application Framework is a physical layer reference technology. It enables Massive MIMO prototyping that goes beyond just desktop simulations to fully functional 5G deployments.

NI also announced Q2 2017 revenue of $319 million, up 4% year-over-year with core revenue up 7% year-over-year.

Siliconware Precision Industries (SPIL) posted its results. Sales were up 4.5% in the quarter. Advanced Semiconductor Engineering (ASE) and SPIL are in the process of merging.

Market research
The historic flood of merger and acquisition deals that swept through the IC industry in the past two years has slowed to a trickle in the first half of 2017, according to IC Insights.

SEMI reported that the three-month average of worldwide billings of North American equipment manufacturers in June 2017 was $2.29 billion. The billings figure is 0.8% higher than the final May 2017 level of $2.27 billion, and is 33.4% higher than the June 2016 billings level of $1.72 billion.

Worldwide silicon wafer area shipments increased during the second quarter 2017 when compared to first quarter 2017 area shipments, according to the SEMI Silicon Manufacturers Group (SMG).



Leave a Reply


(Note: This name will be displayed publicly)