Under The Radar At SPIE

At SPIE, many topics flew under the radar. What were the hot ones?

popularity

At the SPIE Advanced Lithography symposium, the best and brightest minds in the lithography, metrology, resist and design-for-manufacturing (DFM) fields assemble for a week. The annual event is a good way to get a pulse on the current state of lithography.

At this year’s SPIE, it was simple to get a reading. Extreme ultraviolet (EUV) lithography remains delayed. The other next-generation lithography (NGL) technologies—such as multibeam and nanoimprint–continue to have challenges. And needless to say, the only game in town is 193nm immersion and multiple patterning. Some were even talking about “octuple patterning,” which appears to be an expensive, if not a terrifying, technology to consider.

There were a number of other story lines at SPIE. Here are just a few subjects that flew under the radar at SPIE:

What’s the next challenge with EUV?

EUV is the leading candidate in the NGL race. But every few years, it seems that a new problem surfaces with EUV, leaving observers to wonder if the technology will ever reach mass production.

The problems with the EUV power source have been known for years. Five years ago, Intel issued a warning about the gaps in the mask infrastructure for EUV. Then, two or so years ago, Sematech talked about the defect problems with the EUV mask blanks.

At this year’s SPIE, the industry talked about a relatively new problem–the pellicle. Not long ago, EUV proponents insisted that the technology did not require a pellicle. Now, the pellicle for EUV is on everyone’s wish list. “There is always a likelihood that something will fall on the mask,” said Anthony Yen, director of the Nanopatterning Technology Infrastructure Division at TSMC. “So, a pellicle for EUV is necessary.”

ASML Holding has been working on developing a pellicle for EUV. At a press event, Yen urged the industry to work with ASML to accelerate the process. “I would ask commercial pellicle suppliers to join ASML to achieve this,” he added.

The other issue is just how to inspect an EUV mask with a pellicle. That may require actinic inspection, which is another expensive technology. Who exactly will step up and fund that project?

Will DSA happen?

Directed self-assembly (DSA) is making rapid progress, but many of the pieces must still fall in place. In DSA, there are still some gaps in metrology, inspection and the design infrastructure.

Chipmakers must also collaborate with fab tool vendors and materials suppliers to make DSA happen. And the internal groups within companies must also cooperate.

For example, Applied Materials recently announced plans to acquire Tokyo Electron Ltd. (TEL). At one time, Applied was somewhat bullish about DSA. TEL has always been a big proponent of DSA, as the company is developing specialized track gear for the technology.

At SPIE, TEL continued to move full speed ahead in DSA, but Applied distanced itself from DSA to some degree. Instead, Applied was pushing for more traditional forms of multiple patterning schemes, namely self-aligned double patterning (SADP).

DSA requires specialized track gear, but it does not actually require new tools. As Applied knows, it’s tough to sell new tools for DSA. On the other hand, SADP and other multiple patterning schemes require new CVD tools, etchers and other gear. In that area, Applied, and TEL, stand to make hefty profits.

At SPIE, TEL telegraphed the likely scenario in the proposed Applied-TEL merger. The proposed and combined Applied-TEL entity will likely sell tools for both DSA and traditional multiple-exposure schemes. “The position that TEL takes is that we would offer multiple solutions,” said Akihisa Sekiguchi, corporate vice president and deputy general manager of TEL.

What about multibeam and nanoimprint?

Right now, it’s too early to draw any conclusions about multibeam. Later this year, CEA-Leti is expected to present the first results from Mapper’s pre-production multibeam tool. That system was recently installed at CEA-Leti.

At SPIE, KLA-Tencor presented some interesting results with its multibeam technology, but it’s unclear if the company will develop a full-blown production tool. And David Lam’s company, Multibeam, is still developing its tool.

Like multibeam, the nanoimprint industry will be interesting to watch. Earlier this year, Canon acquired the semiconductor arm of Molecular Imprints, a supplier of nanoimprint tools. MII has experienced some success in selling tools to Toshiba for use in NAND flash. Over time, though, planar NAND is moving towards 3D NAND, which doesn’t require leading-edge lithography. So, perhaps the biggest market for nanoimprint is non-semiconductor applications, like disk drives, displays and others.



2 comments

[…] Editor Mark LaPedus spent days talking to people and listening to presentations at SPIE, but not all of it made […]

Martini Tech says:

Canon is now working on 15nm nanoimprint technology in collaboration with Toshiba. I think there will be quite a few challenges to produce a number of masks using 15nm patterns and have them reproduced fairly well on the substrate. Good thing is that NAND seems to be quite fault tolerant, but what will happen if they try to pattern logic as well?

Leave a Reply


(Note: This name will be displayed publicly)