Addressing Memory Characterization Capacity And Throughput Requirements With Dynamic Partitioning

How to improve design performance, reduce die area and closely correlate with manufactured silicon.

popularity

Typical memory characterization techniques using memory compilers and instance-specific memories have a number of tradeoffs—development time, accuracy, performance, and more. Ad-hoc instance-specific characterization methods such as dynamic simulation, transistor-level static timing analysis, and divide-and-conquer suffer from multiple limitations that prohibit usage for 40nm technologies and below. Dynamic partitioning offers an alternative that provides a fast and accurate memory characterization methodology enabling designers to improve design performance, reduce die area, and closely correlate with manufactured silicon. This white paper discusses tradeoffs of these memory characterization techniques and how designers can choose the best solution for their application.

To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)