Wanted: Multi-beam E-Beam Inspection

Inspection becomes more difficult and expensive at each node due to process shrinks, complexity, and new materials.

popularity

The IC industry is making a giant leap from planar devices to a range of next-generation architectures, such as 3D NAND and finFETs. But it’s taking longer than expected to ramp up these new technologies in the market. And the challenges are expected to mount for the next round of chips.

It’s difficult to pinpoint the exact issues with 3D NAND and finFETs. On the manufacturing front alone, there are a number of difficult process steps for both technologies. Lithography, etch and deposition are the obvious challenges, but another technology is also becoming increasingly difficult—wafer inspection.

Inspection, the science of finding defects on a wafer, is becoming more challenging and costly at each node. This is due to process shrinks, design complexities and new materials. In addition, the ability to detect sub-30nm defects is challenging with today’s inspection tools, which are primarily based on two separate technologies—optical and e-beam.

“Smaller defects are becoming very difficult to detect,” said Lior Engel, vice president of strategic marketing for the Process Diagnostics and Control Business unit at Applied Materials. “Optical may not be able to detect enough going forward. E-beam may be detecting enough, but it’s very slow. So, the industry may need something else.”

In fact, Applied Materials, Hermes Microvision, Maglen, Multibeam, Sematech and others are separately pursuing what could be the next big thing in process control—multi-beam e-beam inspection. In theory, this technology can detect defects down to 2nm, and it is much faster than traditional e-beam inspection.

Multi-beam e-beam inspection is also complex and the technology may never fly. But if multi-beam inspection is indeed successful, the first tools could appear in late 2015 or early 2016. Even then, multi-beam inspection won’t replace traditional optical and e-beam tools. “We don’t think one technology will win,” Engel said. “The customer will need a combination of technologies in order to do basic inspection in the fab.”

Defects of interest
Wafer inspection, which is expected to be a $2.4 billion business in 2014, is a simple concept. “You must find what we call the DOI or defect of interest. You must do it fast enough at a reasonable price,” he said. “In the inspection process itself, you take a photo of a die. You take a photo of another die and you compare them. If you see a change, that’s generally a defect.”

In a fab, chipmakers generally make use of three basic types of wafer inspection tool technologies—brightfield, darkfield and e-beam. In the flow, chipmakers first use e-beam inspection, mainly for engineering analysis. E-beam is able to find the smallest defects, but the throughputs are too slow to put these tools into the production flow.

Optical-based darkfield and brightfield inspection tools are used in the production flow. Darkfield inspection is the measurement of light reflected at a lower angle. Used to find defects during the transistor fabrication process, brightfield collects light reflected from a defect. In turn, the defect appears dark against a white background.

In the overall fab flow, there are a multitude of process steps. “I can’t afford to measure at every one of those process steps,” said Brian Trafas, chief marketing officer at KLA-Tencor. “So what I’m going to do is select maybe 20 inspection points for my tool to see if I have any defect problems.”

From there, the complexities mount. In one example of the challenges, the inspection tool may find defects on a wafer. The system may also detect what is commonly called a nuisance. In simple terms, a nuisance is an irregularity or false defect on the wafer, but is not a defect of interest.

In the past, a tool could process the information and delineate the difference between the defects and nuisances on a map. But in more advanced nodes, the nuisances and defects appear to be bunched together on the map. And it’s becoming more difficult to distinguish the differences between the two.

There are other challenges with today’s inspection tools, which find defects via line of sight. “In a planar device, you can see every defect. With 3D devices, the defects are becoming more embedded. You don’t have a line of sight to these defects,” Applied’s Engel said. “In addition, chipmakers also want to put as much stuff on the chip as possible. You may have SRAM, embedded DRAM and dense logic. When you optically hit the device with light and collect it, each one may behave differently. On top of that, you have more and more materials. So you may miss defects.”

Optical forever?
Using 193nm light sources, brightfield inspection is the workhorse technology in the fab. In general, optical-based inspection can easily find defects down to 30nm. The grey area for optical is somewhere between 20nm to 10nm, but the technology is being stretched to the limit below 10nm, according to experts. But contrary to popular belief, brightfield is not on its last legs. “We have some companies telling analysts that optical inspection is going away,” said KLA-Tencor’s Trafas. “It’s not going away.”

To extend optical, Applied Materials and KLA-Tencor continue to upgrade their respective tools with brighter sources, finer objectives, faster sensors and new software. “If you optimize an optical tool correctly, and extend its capabilities, there is so much more learning you can get from it,” Trafas said.

Meanwhile, KLA-Tencor and Hermes Microvision sell single-beam, e-beam inspection systems in the market. E-beam inspection has sensitivities down to 3nm. “There is a place for e-beam inspection,” Trafas said. “You can do fine resolutions with e-beam, but the problem is throughput. If I want to inspect a full die to qualify a new mask, it would take seven days with e-beam inspection. That would take one hour in an optical inspection tool. That being said, there is a case for e-beam. I can do some very interesting voltage contrast studies with e-beam inspection.”

Multi-beam to the rescue
To boost the throughputs in e-beam inspection, the industry is working on a technology that makes use of multiple beams. If vendors can bring these tools from the lab to the fab, multi-beam e-beam inspection could potentially replace brightfield, and single-beam e-beam, for leading-edge defect detection. “Multi-beam inspection could be a major change for the industry,” said Michael Lercel, senior director and chief technologist at Sematech, a semiconductor R&D organization that is pursing multi-beam inspection. “But, of course, the lower resolution stuff will stay optical.”

There are similarities and differences between multi-beam inspection and multi-beam for direct-write lithography applications. In both cases, multi-beam technology is challenging. The electrons in the columns tend to disturb each other, which impact the performance of a system. “The fundamentals of getting beams to the surface are the same, but inspection is much different than direct-write applications,” Lercel said. “In e-beam direct-write, you get the beams to the surface and then you write the patterns. In multi-beam inspection, you need to have a way of getting the secondary electrons, or backscattered electrons, back out of the sampling area. That’s the harder part. The easy part is you don’t have to blank the beams like direct-write.”

In multi-beam inspection, there are several types of approaches—multiple beams; multiple columns and multiple-objective lens; multiple columns and single-objective lens; and miniature columns. “It’s too early to say what’s the best option,” Lercel said. “But basically, there are two broad categories. You have mini columns. A mini column can be an inch or so across, which you array across the wafer. Then, you can go to a multi-beam approach in a single column.”

On top of that, a given tool could have a range of beam counts. “At Sematech, we are looking at this as a disruptive technology,” he said. “We are looking at technologies that are scalable to many beams, at least hundreds, if not thousands of beams. The beams still maintain the resolution of single-beam systems. Other companies are looking at technologies that involve a few beams in parallel.”

For example, in the R&D lab, Applied Materials is developing a multi-column technology, which could have far fewer beam counts. “We know how to do that,” Applied’s Engel said. “In terms of 10,000 or so beams, no one is there. This is seven years out.”

Another company, Hermes Microvision, has been developing a multi-column technology that incorporates some 16 beams. The Taiwan-based company hopes to roll out the industry’s first multi-beam inspection tool, which could appear by late 2015 or early 2016.

Meanwhile, Maglen, a Singaporean startup, also hopes to enter the market. The one-year-old company is developing a modular, 2D multi-column array. “Our target is partial wafer inspection,” said Tony Luo, founder of Maglen.

Maglen’s array consists of 69 columns, each of which is aligned to a die. The technology is based on a permanent magnet lens array, which enables resolutions down to 2nm. This differs from the conventional coil-based magnetic multi-column approach, which degrades the performance of the system, according to Maglen.

Still, it will require millions of dollars to develop such a tool. Maglen itself is currently looking for funding, but venture capital has nearly dried up in the IC equipment industry. The startup is also looking for a partner to help commercialize its technology, according to Luo.

The startup faces an uphill battle, however. “It’s very hard for startups to get support today,” said David Lam, a venture capitalist and chairman of Multibeam, a developer of multiple beam technology. Multibeam itself mainly focuses on the lithography market, although the company has developed a multi-beam inspection technology. Based on a mini-column approach, Multibeam’s array consists of roughly 88 columns. Measuring 22mm in diameter and 125mm in height, each column has its own source, detector and controller.

The technology is capable of cross-wafer inspection, according to Lam, who added that there a crying need for multi-beam inspection in the market. “At 30nm and above, optical can do the job,” he said, “but the industry needs high-throughput, sub-20nm inspection. Multi-beam e-beam inspection is a viable solution. It’s only a matter of time before we see this technology in the market.”



Leave a Reply


(Note: This name will be displayed publicly)