Challenges Mount For EUV Masks

Industry is still struggling with defects and have made some progress, but challenges persist for larger masks.

popularity

ASML Holding’s first production-worthy scanners for extreme ultraviolet (EUV) lithography are expected to ship this year, but there are still a number of challenges to bring the technology into high-volume manufacturing.

As before, the three main challenges for EUV are the power sources, resists and photomasks. To date, the resists are making progress, while the EUV power sources remain a stumbling block. But in a relatively new and troubling development, the challenges are mounting for EUV masks.

The industry has been working on EUV masks for years and can produce reticles based on the technology. In theory, EUV masks must be defect-free, but the problem is that the industry is still struggling to solve the defect issues with the technology. Mask makers have found a way to work around the problem for the smaller defects but not the larger ones.

Some time ago, mask makers traced the defect problem back to the glass substrate, which serves as the foundation for making an EUV mask. More recently, researchers stumbled upon another source of defects–the EUV mask deposition tool. Veeco Instruments, the sole supplier of deposition tools for EUV masks, has acknowledged the problem in public forums and said it will soon ship an upgrade to fix the issue.

There are other gaps in the EUV mask infrastructure. The initial EUV masks don’t have a pellicle, but now, photomask makers are putting this item on their wish list. And as before, the actinic-based inspection tools are still in R&D. “We can see challenges everywhere,” said Banqiu Wu, principal member of the technical staff and chief technology officer for the Mask and TSV Etch Division at Applied Materials. “For the EUV mask, the number one problem is still defects.”

And the problem is becoming more difficult to solve. “EUV has been pushed out and delayed,” Wu said. “Once you delay a generation, your specs become tighter. That’s means your defect sizes become smaller. Once your defect target becomes smaller, your number increases significantly.”

The question is can the industry solve the growing challenges in the EUV mask infrastructure? The industry will require new breakthroughs. But perhaps a larger question is whether EUV will make it in the first place.

EUV progress report
EUV is making progress on some but not all fronts. Presently, the “weak link” in EUV is the mask blank infrastructure, including the materials, tools and processes, said Stefan Wurm, director of lithography at Sematech, in a recent presentation. Wurm, a principal member of the technical staff at GlobalFoundries, is now on assignment at Sematech.

Tim Pratt, senior director of marketing at Veeco, framed the challenges in a different light. “The existing capacity today to make EUV mask blanks can keep up with what the demand is now,” Pratt said. “As ASML starts to install their (EUV scanners), there is going to be a larger demand for these blanks, but the industry is not going to be able to keep up. Unless we get this problem solved, things are going to get expensive for the mask and the EUV industry as a whole.”

EUV masks are markedly different than traditional photomasks. Today’s optical binary masks consist of an opaque layer of chrome on a glass substrate. In contrast, the bottom of an EUV mask consists of a 6 x 6-inch glass substrate, which is based on a low thermal expansion material (LTEM). On the top of the substrate, there are 40 to 50 alternating layers of silicon and molybdenum. In total, the multi-layer stack is 250nm to 350nm thick.

The multi-layer stack serves as a mirror or reflector for EUV light. On top of the stack, there is a thin ruthenium-based capping layer, followed by an absorber. The absorber itself is based on a tantalum boron nitride film.

In the basic EUV mask process flow, a vendor produces a substrate. Then, the substrate is sent to a mask blank vendor, which takes the product and puts it through the following steps—multi-layer deposition; fiducial marking; and absorber deposition. At that point, the substrate becomes a mask blank, which, in turn, is then sent to the mask shop. Finally, the mask blank undergoes the following process steps—such as e-beam writing; etch; inspection; repair; and review.

Source of the problem
The defect problem with EUV masks starts with the substrate itself. After a substrate is processed and developed, the product is riddled with unwanted pits and bumps. “For regular masks, the glass is almost perfect,” Applied’s Wu said. “The EUV substrate is not perfect. But for EUV, our environment is so tight. (The substrate) needs to be almost perfect.”

One of the issues with the substrate is the inability to control the material’s coefficient of thermal expansion (CTE). “That’s the number one challenge for the substrate supplier,” Wu said. “The second challenge is the flatness.”

Ironically, the pits and bumps are also caused during the polishing and cleaning steps. “The substrate generally makes up over 70% of the phase defects. We need something to fix the small pits. If we can’t control the substrate pits, it means we can’t overcome the challenges,” Wu said.

To solve the problem, substrate makers are developing new and modified LTEM materials with lower CTE slopes. There are other efforts in the arena. For example, Sematech and Suss recently announced a deal under which the companies will co-develop substrate and blank cleaning technologies.

Another issue is Veeco’s EUV mask deposition tool. Based on an ion-beam technology, Veeco’s tool creates the multi-layer stack. It deposits alternating layers of silicon and molybdenum on the substrate. “The problem is equally shared between the substrate quality and deposition,” said Veeco’s Pratt. “The substrate itself is defective. There are always going to be pits and bumps. But the deposition problem is particularly painful because these defects are killers. As the multi-layer gets built up (in the deposition process), the size of the defect grows to 1.5x that size. That has to do with the angles that the things are deposited on.”

Still, EUV mask makers have found a way to work around the problem, at least with the smaller defects. “If you know where that defect is, you put it under the absorber. There is certain software that allows you to do that. But by and large, once that defect is there, you can’t do much about it. You hope you don’t land on that area exposed on the mask,” he said.

A big breakthrough occurred when Veeco and Sematech recently discovered the source of the problem within Veeco’s tool. “In front of the chamber wall, there is a shield. It protects the chamber wall from building up a bunch of gunk. What happens is that these high-energy ions sometimes don’t hit the target. They start missing and bounce off the shield. The particle falls and lands on the mask,” Pratt said.

To solve the problem, Veeco will ship an upgrade to its deposition tool by year’s end. The upgrade is called Odyssey. “What we’re doing is focusing that beam more directly on the target. So, there is less overspray. The other thing we’re doing is taking the target and making it larger. This should eliminate quite a few of the defects,” he said.

Veeco also is developing a next-generation ion-beam deposition tool, which is expected to further reduce the number of defects. Mask makers want this tool sooner than later, but Veeco said it cannot accelerate the development of the system unless it obtains more R&D funding from the industry. The next-generation tool is slated for delivery in 2016 or 2017.

Other gaps
Today’s optical photomasks have a pellicle, which is a thin-film membrane that acts as a dust cover for the mask itself. Current EUV masks do not have pellicles, meaning the reticles are prone to particles and defects.

To solve that problem, ASML is developing two different approaches for EUV pellicles. The first is a multi-lattice pellicle at a thickness of 25nm, which has a transmission rate greater than 86%. The second approach is a poly-silicon pellicle at 55nm, which has a transmission rate greater than 82%. Overall, the goal is to achieve 90% transmission. “We are within range where we think this is possible,” said Martin van den Brink, president and chief technology officer at ASML, in a recent presentation. “Pellicles would reduce the defect requirements substantially.”

Still, it is unlikely that the EUV pellicles will be ready in the short term. So, the industry may have to live with the defects in EUV masks until the pellicles and the other problems are solved. And so, for the foreseeable future, photomask makers will likely to pay a premium for mask blanks and EUV reticles.



Leave a Reply


(Note: This name will be displayed publicly)