Evolution Of The EUV Ecosystem Reflected At 2023 Advanced Lithography + Patterning

Resists, metrology, and illumination systems for extreme ultraviolet lithography continue to advance.

popularity

As anticipated, this year’s Advanced Lithography + Patterning Symposium was a very informative event, with many interesting papers being presented across a wide range of subjects. Many papers addressed topics relevant to leading-edge lithography, which these days means EUV lithography. With EUV lithography firmly established in high volume manufacturing (HVM), we could see in the presentations how the technology continues to advance. It was also very appropriate that this year’s Frits Zernike Award for Microlithography was conferred during the Symposium’s plenary session to Tony Yen for his contributions to the realization of EUV lithography in HVM.

During the Symposium there were many papers on resists and resist processes for EUV lithography, since these continue to be gating progress. Metal-oxide resists continue to mature, with process improvements coming from the materials suppliers, and also others, such as Tokyo Electron with their intriguing ESPERT process. Recent results using vapor deposited resists were also presented.

Although there has been much progress in these new resist platforms, it appears that chemically amplified resists are still the primary EUV imaging materials used in HVM. An unexpected result, shown by multiple authors, is the reduction following etch of line-edge roughness (LER) at low spatial frequencies for certain processes. Further exploration of this phenomenon may improve our ability to address the thorny problems of LER and stochastics.

Another resist-related problem that was addressed in presentations was that of tip-to-tip patterning, long a challenge for single exposures. The tip-to-tip patterning capabilities of several resist systems were presented, and improvement using post-development processing was mentioned in multiple papers.

The two presentations at the eBeam Initiative lunch reflected topics – electron beam metrology and direct-write lithography – that were also covered by several papers in the Symposium’s technical sessions. The measurement and defect inspection of the small features being produced using leading-edge lithography often requires the use of electron beams, further complicated by the need for collecting massive amounts of data. Metrology equipment companies gave presentations on how they are addressing the problem of throughput for electron beam metrology. The application of deep learning to metrology was also the subject of several papers.

Speaking of large amounts of data, ASML presented new capabilities for adjusting overlay on their optical exposure tools using overlay models with 57 parameters! They also mentioned that consideration is being given to EUV lithography systems with numerical apertures ≥ 0.75. Authors from imec presented an analysis of the potential benefits and challenges of such hyper-NA lithographic technology, and I expect that this topic will be the subject of presentations at future lithography conferences. ASML also revealed their plans for future EUV illumination systems that enable the use of smaller minimum pupil fills without significant loss of light, making it a bit easier to find computational solutions for addressing the problems resulting from mask 3D effects. Furthermore, this will be accomplished while also eliminating a mirror in the illumination system, thereby increasing the amount of light that reaches the wafer.

During the symposium, several papers were given on stitching, which is required for patterning large dies using NA = 0.55 EUV lithographic technology (without a change of mask size). Alternatively, advanced packaging, rather than large dies, can be used to create high-performance electronic systems. For patterning the large substrates often used for advanced packaging, direct write lithography, one of the topics presented at the eBeam Initiative lunch and the subject of several conference papers, has potential advantages.

Several presentations involved curvilinear features, showing benefits for enhancing process windows, along with advances in the infrastructure for enabling the use of curvy shapes. A paper by imec showed additional benefits for achieving small area logic cells using curvilinear features in the design at relaxed pitches, taking design-technology co-optimization (DTCO) in an interesting new direction.

EUV pellicles continue to improve, although they are not yet used as universally as are pellicles for optical lithography. There seemed to be optimism that the remaining issues for EUV pellicles made from carbon nanotubes will be solved, leading to high transmission and wider adoption of pellicles for EUV lithography.

This year’s Advanced Lithography + Patterning Symposium was a great event, well worth attending, with a large number of high-quality papers. Many of the papers represented progress reports, with further improvements to be forthcoming. For me, one of the best parts was the ability to meet with colleagues face-to-face, providing opportunities for discussing the new information presented in the technical sessions and benefitting from the perspectives of other lithographers. I look forward to seeing many new improvements presented next year!



Leave a Reply


(Note: This name will be displayed publicly)